20.01.2013 Views

i.MX31 and i.MX31L Multimedia Applications Processors Data Sheet

i.MX31 and i.MX31L Multimedia Applications Processors Data Sheet

i.MX31 and i.MX31L Multimedia Applications Processors Data Sheet

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Freescale Semiconductor<br />

<strong>Data</strong> <strong>Sheet</strong>: Technical <strong>Data</strong><br />

MCI<strong>MX31</strong> <strong>and</strong><br />

MCI<strong>MX31</strong>L<br />

<strong>Multimedia</strong> <strong>Applications</strong><br />

<strong>Processors</strong><br />

1 Introduction<br />

The MCI<strong>MX31</strong> <strong>and</strong> MCI<strong>MX31</strong>L multimedia<br />

applications processors represent the next step in<br />

low-power, high-performance application processors.<br />

Unless otherwise specified, the material in this data sheet<br />

is applicable to both the MCI<strong>MX31</strong> <strong>and</strong> MCI<strong>MX31</strong>L<br />

processors <strong>and</strong> referred to singularly throughout this<br />

document as MCI<strong>MX31</strong>. The MCI<strong>MX31</strong>L does not<br />

include a graphics processing unit (GPU).<br />

Based on an ARM11 microprocessor core, the<br />

MCI<strong>MX31</strong> provides the performance with low power<br />

consumption required by modern digital devices such<br />

as:<br />

Feature-rich cellular phones<br />

Portable media players <strong>and</strong> mobile gaming<br />

machines<br />

Personal digital assistants (PDAs) <strong>and</strong> Wireless PDAs<br />

Portable DVD players<br />

Digital cameras<br />

The MCI<strong>MX31</strong> takes advantage of the ARM1136JF-S<br />

core running at up to 532 MHz, <strong>and</strong> is optimized for<br />

This document contains information on a new product. Specifications <strong>and</strong> information herein are subject to change without notice.<br />

© Freescale Semiconductor, Inc., 2005–2008. All rights reserved.<br />

Document Number: MCI<strong>MX31</strong><br />

Rev. 4.1, 11/2008<br />

MCI<strong>MX31</strong> <strong>and</strong><br />

MCI<strong>MX31</strong>L<br />

Package Information<br />

Plastic Package<br />

Case 1581 14 x 14 mm, 0.5 mm Pitch<br />

Case 1931 19 x 19 mm, 0.8 mm Pitch<br />

Ordering Information<br />

See Table 1 on page 3 for ordering information.<br />

Contents<br />

Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1<br />

Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2<br />

Ordering Information . . . . . . . . . . . . . . . . . . . . . 3<br />

Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . 4<br />

Functional Description <strong>and</strong> Application<br />

Information . . . . . . . . . . . . . . . . . . . . . . . . . . . 4<br />

ARM11 Microprocessor Core . . . . . . . . . . . . . . 4<br />

Module Inventory . . . . . . . . . . . . . . . . . . . . . . . 6<br />

Signal Descriptions . . . . . . . . . . . . . . . . . . . . . . 9<br />

Electrical Characteristics . . . . . . . . . . . . . . . . 10<br />

Chip-Level Conditions . . . . . . . . . . . . . . . . . . 10<br />

Supply Power-Up/Power-Down Requirements<br />

<strong>and</strong> Restrictions . . . . . . . . . . . . . . . . . . . . 18<br />

Module-Level Electrical Specifications . . . . . . 21<br />

Package Information <strong>and</strong> Pinout . . . . . . . . . 104<br />

MAPBGA Production Package—<br />

457 14 x 14 mm, 0.5 mm Pitch . . . . . . . . . . . 104<br />

MAPBGA Production Package—<br />

473 19 x 19 mm, 0.8 mm Pitch . . . . . . . . . . . 110<br />

Ball Maps . . . . . . . . . . . . . . . . . . . . . . . . . . . 116<br />

Product Differences . . . . . . . . . . . . . . . . . . . . 118<br />

Product Documentation . . . . . . . . . . . . . . . . 119<br />

Revision History . . . . . . . . . . . . . . . . . . . . . . . 120<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Introduction<br />

minimal power consumption using the most advanced techniques for power saving (DPTC, DVFS, power<br />

gating, clock gating). With 90 nm technology <strong>and</strong> dual-Vt transistors (two threshold voltages), the<br />

MCI<strong>MX31</strong> provides the optimal performance versus leakage current balance.<br />

The performance of the MCI<strong>MX31</strong> is boosted by a multi-level cache system, <strong>and</strong> features peripheral<br />

devices such as an MPEG-4 Hardware Encoder (VGA, 30 fps), an Autonomous Image Processing Unit, a<br />

Vector Floating Point (VFP11) co-processor, <strong>and</strong> a RISC-based SDMA controller.<br />

The MCI<strong>MX31</strong> supports connections to various types of external memories, such as DDR, NAND Flash,<br />

NOR Flash, SDRAM, <strong>and</strong> SRAM. The MCI<strong>MX31</strong> can be connected to a variety of external devices using<br />

technology, such as high-speed USB2.0 OTG, ATA, MMC/SDIO, <strong>and</strong> compact flash.<br />

1.1 Features<br />

The MCI<strong>MX31</strong> is designed for the high-tier, mid-tier smartphone markets, <strong>and</strong> portable media players.<br />

They provide low-power solutions for high-performance dem<strong>and</strong>ing multimedia <strong>and</strong> graphics<br />

applications.<br />

The MCI<strong>MX31</strong> is built around the ARM11 MCU core <strong>and</strong> implemented in the 90 nm technology.<br />

The systems include the following features:<br />

<strong>Multimedia</strong> <strong>and</strong> floating-point hardware acceleration supporting:<br />

— MPEG-4 real-time encode of up to VGA at 30 fps<br />

— MPEG-4 real-time video post-processing of up to VGA at 30 fps<br />

— Video conference call of up to QCIF-30 fps (decoder in software), 128 kbps<br />

— Video streaming (playback) of up to VGA-30 fps, 384 kbps<br />

— 3D graphics <strong>and</strong> other applications acceleration with the ARM ® tightly-coupled Vector<br />

Floating Point co-processor<br />

— On-the-fly video processing that reduces system memory load (for example, the<br />

power-efficient viewfinder application with no involvement of either the memory system or the<br />

ARM CPU)<br />

Advanced power management<br />

— Dynamic voltage <strong>and</strong> frequency scaling<br />

— Multiple clock <strong>and</strong> power domains<br />

— Independent gating of power domains<br />

Multiple communication <strong>and</strong> expansion ports including a fast parallel interface to an external<br />

graphic accelerator (supporting major graphic accelerator vendors)<br />

Security<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

2 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


1.2 Ordering Information<br />

Table 1 provides the ordering information for the MCI<strong>MX31</strong>.<br />

Part Number Silicon Revision<br />

1, 2, 3,4<br />

Table 1. Ordering Information<br />

Device Mask<br />

1.2.1 Feature Differences Between Mask Sets<br />

Operating Temperature<br />

Range (°C)<br />

MCI<strong>MX31</strong>VKN5 1.15 2L38W <strong>and</strong> 3L38W 0 to 70<br />

MCI<strong>MX31</strong>LVKN5 1.15 2L38W <strong>and</strong> 3L38W 0 to 70<br />

MCI<strong>MX31</strong>VKN5B 1.2 M45G 0 to 70<br />

MCI<strong>MX31</strong>LVKN5B 1.2 M45G 0 to 70<br />

MCI<strong>MX31</strong>VKN5C 2.0 M91E 0 to 70<br />

MCI<strong>MX31</strong>LVKN5C 2.0 M91E 0 to 70<br />

MCI<strong>MX31</strong>CVKN5C 2.0 M91E –40 to 85<br />

MCI<strong>MX31</strong>LCVKN5C 2.0 M91E –40 to 85<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Introduction<br />

1<br />

Information on reading the silicon revision register can be found in the IC Identification (IIM) chapter of the Reference Manual,<br />

see Section 7, “Product Documentation.”<br />

2<br />

Errata <strong>and</strong> fix information of the various mask sets can be found in the st<strong>and</strong>ard MCI<strong>MX31</strong> Chip Errata, see Section 7, “Product<br />

Documentation.”<br />

3<br />

Changes in output buffer characteristics can be found in the I/O Setting Exceptions <strong>and</strong> Special Pad Descriptions table in the<br />

Reference Manual, see Section 7, “Product Documentation.”<br />

4<br />

JTAG functionality is not tested nor guaranteed at -40°C.<br />

5<br />

Case 1581 <strong>and</strong> 1931 are RoHS compliant, lead-free, MSL = 3, <strong>and</strong> solders at 260°C.<br />

The following is a summary of differences between silicon Revision 2.0, mask set M91E, <strong>and</strong> previous<br />

revisions of silicon. A complete list of these differences is given in Table 72.<br />

Extended operating temperature range is available: –40°C to 85°C<br />

Supply current information changes, as shown in Table 13 <strong>and</strong> Table 14<br />

FUSE_VDD supply voltage is floated or grounded during read operation<br />

No restriction on PLL versus core supply voltage<br />

Operating frequency as shown in Table 8.<br />

Package 5<br />

14 x 14 mm,<br />

0.5 mm pitch,<br />

MAPBGA-457,<br />

Case 1581<br />

14 x 14 mm,<br />

0.5 mm pitch,<br />

MAPBGA-457,<br />

Case 1581<br />

MCI<strong>MX31</strong>VMN5C 2.0 M91E 0 to 70 19 x 19 mm,<br />

MCI<strong>MX31</strong>LVMN5C 2.0 M91E 0 to 70<br />

0.8 mm pitch,<br />

Case 1931<br />

Freescale Semiconductor 3<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Functional Description <strong>and</strong> Application Information<br />

1.3 Block Diagram<br />

Figure 1 shows the MCI<strong>MX31</strong> simplified interface block diagram.<br />

SRAM, PSRAM, SDRAM NAND Flash,<br />

NOR Flash<br />

DDR<br />

SmartMedia<br />

External Memory<br />

Interface (EMI)<br />

Fast<br />

IrDA<br />

SDMA<br />

ARM11TM Platform<br />

ARM1136JF-S<br />

I-Cache<br />

D-Cache<br />

L2-Cache<br />

ROMPATCH<br />

VFP<br />

TM<br />

MAX<br />

ETM<br />

Bluetooth<br />

Internal<br />

Memory<br />

MPEG-4<br />

Video Encoder<br />

Baseb<strong>and</strong><br />

WLAN<br />

Camera<br />

Sensor (2)<br />

SD<br />

Card<br />

Figure 1. MCI<strong>MX31</strong> Simplified Interface Block Diagram<br />

2 Functional Description <strong>and</strong> Application Information<br />

2.1 ARM11 Microprocessor Core<br />

Parallel<br />

Display (2)<br />

Image Processing Unit (IPU)<br />

Inversion <strong>and</strong> Rotation<br />

Camera Interface<br />

Blending<br />

Display/TV Ctl<br />

Pre <strong>and</strong> Post Processing<br />

Expansion<br />

SDHC (2)<br />

PCMCIA/CF<br />

Mem Stick (2)<br />

SIM<br />

ATA<br />

Debug<br />

ECT<br />

SJC<br />

The CPU of the MCI<strong>MX31</strong> is the ARM1136JF-S core based on the ARM v6 architecture. It supports the<br />

ARM Thumb ® instruction sets, features Jazelle ® technology (which enables direct execution of Java byte<br />

codes), <strong>and</strong> a range of SIMD DSP instructions that operate on 16-bit or 8-bit data values in 32-bit registers.<br />

The ARM1136JF-S processor core features:<br />

Integer unit with integral EmbeddedICE logic<br />

Eight-stage pipeline<br />

Branch prediction with return stack<br />

Low-interrupt latency<br />

Timers<br />

RTC<br />

WDOG<br />

GPT<br />

EPIT (2)<br />

Security<br />

SCC<br />

RTIC<br />

RNGA<br />

AP Peripherals<br />

AUDMUX<br />

SSI (2)<br />

UART (5)<br />

I<br />

CSPI (3)<br />

PWM<br />

GPIO<br />

1-WIRE®<br />

GPU*<br />

2C (3)<br />

FIR<br />

USB Host (2)<br />

USB-OTG<br />

KPP<br />

CCM<br />

IIM<br />

PC<br />

USB<br />

Card Host/Device<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

4 Freescale Semiconductor<br />

Serial<br />

LCD<br />

* GPU unavailable for i.<strong>MX31</strong>L<br />

PC<br />

Card<br />

Tamper<br />

Detection<br />

Mouse<br />

Keyboard<br />

Power<br />

Management<br />

IC<br />

8 x 8<br />

Keypad<br />

Serial<br />

EPROM<br />

GPS<br />

ATA<br />

Hard Drive<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Functional Description <strong>and</strong> Application Information<br />

Instruction <strong>and</strong> data memory management units (MMUs), managed using micro TLB structures<br />

backed by a unified main TLB<br />

Instruction <strong>and</strong> data L1 caches, including a non-blocking data cache with Hit-Under-Miss<br />

Virtually indexed/physically addressed L1 caches<br />

64-bit interface to both L1 caches<br />

Write buffer (bypassable)<br />

High-speed Advanced Micro Bus Architecture (AMBA) L2 interface<br />

Vector Floating Point co-processor (VFP) for 3D graphics <strong>and</strong> other floating-point applications<br />

hardware acceleration<br />

ETM <strong>and</strong> JTAG-based debug support<br />

2.1.1 Memory System<br />

The ARM1136JF-S complex includes 16 KB Instruction <strong>and</strong> 16 KB <strong>Data</strong> L1 caches. It connects to the<br />

MCI<strong>MX31</strong> L2 unified cache through 64-bit instruction (read-only), 64-bit data read/write (bi-directional),<br />

<strong>and</strong> 64-bit data write interfaces.<br />

The embedded 16K SRAM can be used for audio streaming data to avoid external memory accesses for<br />

the low-power audio playback, for security, or for other applications. There is also a 32-KB ROM for<br />

bootstrap code <strong>and</strong> other frequently-used code <strong>and</strong> data.<br />

A ROM patch module provides the ability to patch the internal ROM. It can also initiate an external boot<br />

by overriding the boot reset sequence by a jump to a configurable address.<br />

Table 2 shows information about the MCI<strong>MX31</strong> core in tabular form.<br />

Core<br />

Acronym<br />

ARM11 or<br />

ARM1136<br />

Core<br />

Name<br />

ARM1136<br />

Platform<br />

Table 2. MCI<strong>MX31</strong> Core<br />

Brief Description<br />

The ARM1136 Platform consists of the ARM1136JF-S core, the ETM<br />

real-time debug modules, a 6 x 5 multi-layer AHB crossbar switch (MAX), <strong>and</strong><br />

a Vector Floating Processor (VFP).<br />

The MCI<strong>MX31</strong> provides a high-performance ARM11 microprocessor core <strong>and</strong><br />

highly integrated system functions. The ARM Application Processor (AP) <strong>and</strong><br />

other subsystems address the needs of the personal, wireless, <strong>and</strong> portable<br />

product market with integrated peripherals, advanced processor core, <strong>and</strong><br />

power management capabilities.<br />

Integrated Memory<br />

Includes<br />

16 Kbyte Instruction<br />

Cache<br />

16 Kbyte <strong>Data</strong><br />

Cache<br />

128 Kbyte L2 Cache<br />

32 Kbyte ROM<br />

16 Kbyte RAM<br />

Freescale Semiconductor 5<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Functional Description <strong>and</strong> Application Information<br />

2.2 Module Inventory<br />

Table 3 shows an alphabetical listing of the modules in the multimedia applications processor. For<br />

extended descriptions of the modules, see the reference manual. A cross-reference is provided to the<br />

electrical specifications <strong>and</strong> timing information for each module with external signal connections.<br />

Block<br />

Mnemonic<br />

Block Name<br />

Functional<br />

Grouping<br />

1-Wire® 1-Wire Interface Connectivity<br />

Peripheral<br />

ATA Advanced<br />

Technology (AT)<br />

Attachment<br />

AUDMUX Digital Audio<br />

Multiplexer<br />

CAMP Clock Amplifier<br />

Module<br />

CCM Clock Control<br />

Module<br />

CSPI Configurable<br />

Serial Peripheral<br />

Interface (x 3)<br />

DPLL Digital Phase<br />

Lock Loop<br />

ECT Embedded<br />

Cross Trigger<br />

EMI External<br />

Memory<br />

Interface<br />

EPIT Enhanced<br />

Periodic<br />

Interrupt Timer<br />

ETM Embedded<br />

Trace Macrocell<br />

FIR Fast InfraRed<br />

Interface<br />

Connectivity<br />

Peripheral<br />

<strong>Multimedia</strong><br />

Peripheral<br />

Table 3. Digital <strong>and</strong> Analog Modules<br />

Brief Description<br />

The 1-Wire module provides bi-directional communication between<br />

the ARM11 core <strong>and</strong> external 1-Wire devices.<br />

The ATA block is an AT attachment host interface. It is designed to<br />

interface with IDE hard disc drives <strong>and</strong> ATAPI optical disc drives.<br />

The AUDMUX interconnections allow multiple, simultaneous<br />

audio/voice/data flows between the ports in point-to-point or<br />

point-to-multipoint configurations.<br />

Clock The CAMP converts a square wave/sinusoidal input into a rail-to-rail<br />

square wave. The output of CAMP feeds the predivider.<br />

Clock The CCM provides clock, reset, <strong>and</strong> power management control for<br />

the MCI<strong>MX31</strong>.<br />

Connectivity<br />

Peripheral<br />

The CSPI is equipped with data FIFOs <strong>and</strong> is a master/slave<br />

configurable serial peripheral interface module, capable of<br />

interfacing to both SPI master <strong>and</strong> slave devices.<br />

Clock The DPLLs produce high-frequency on-chip clocks with low<br />

frequency <strong>and</strong> phase jitters.<br />

Note: External clock sources provide the reference frequencies.<br />

Debug The ECT is composed of three CTIs (Cross Trigger Interface) <strong>and</strong><br />

one CTM (Cross Trigger Matrix—key in the multi-core <strong>and</strong><br />

multi-peripheral debug strategy.<br />

Memory<br />

Interface<br />

(EMI)<br />

Timer<br />

Peripheral<br />

The EMI includes<br />

Multi-Master Memory Interface (M3IF)<br />

Enhanced SDRAM Controller (ESDCTL)<br />

NAND Flash Controller (NFC)<br />

Wireless External Interface Module (WEIM)<br />

The EPIT is a 32-bit “set <strong>and</strong> forget” timer which starts counting after<br />

the EPIT is enabled by software. It is capable of providing precise<br />

interrupts at regular intervals with minimal processor intervention.<br />

Debug/Trace The ETM (from ARM, Ltd.) supports real-time instruction <strong>and</strong> data<br />

tracing by way of ETM auxiliary I/O port.<br />

Connectivity<br />

Peripheral<br />

This FIR is capable of establishing a 0.576 Mbit/s, 1.152 Mbit/s or 4<br />

Mbit/s half duplex link via a LED <strong>and</strong> IR detector. It supports 0.576<br />

Mbit/s, 1.152 Mbit/s medium infrared (MIR) physical layer protocol<br />

<strong>and</strong> 4Mbit/s fast infrared (FIR) physical layer protocol defined by<br />

IrDA, Rev. 1.4.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Section/<br />

Page<br />

4.3.4/26<br />

4.3.5/27<br />

4.3.6/36<br />

4.3.3/25<br />

6 Freescale Semiconductor<br />

—<br />

4.3.7/36<br />

4.3.8/37<br />

—<br />

—<br />

4.3.9.3/46,<br />

4.3.9.1/38,<br />

4.3.9.2/41<br />

—<br />

4.3.10/54<br />

4.3.11/55<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Block<br />

Mnemonic<br />

Block Name<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Functional Description <strong>and</strong> Application Information<br />

Fusebox Fusebox ROM The Fusebox is a ROM that is factory configured by Freescale. 4.3.12/55<br />

See also<br />

Table 11<br />

GPIO General<br />

Purpose I/O<br />

Module<br />

GPT General<br />

Purpose Timer<br />

GPU Graphics<br />

Processing Unit<br />

I 2 C Inter IC<br />

Communication<br />

IIM IC Identification<br />

Module<br />

IPU Image<br />

Processing Unit<br />

Pins The GPIO provides several groups of 32-bit bidirectional, general<br />

purpose I/O. This peripheral provides dedicated general-purpose<br />

signals that can be configured as either inputs or outputs.<br />

Timer<br />

Peripheral<br />

<strong>Multimedia</strong><br />

Peripheral<br />

Connectivity<br />

Peripheral<br />

The GPT is a multipurpose module used to measure intervals or<br />

generate periodic output.<br />

The GPU provides hardware acceleration for 2D <strong>and</strong> 3D graphics<br />

algorithms.<br />

The I 2 C provides serial interface for controlling the Sensor Interface<br />

<strong>and</strong> other external devices. <strong>Data</strong> rates of up to 100 Kbits/s are<br />

supported.<br />

Freescale Semiconductor 7<br />

—<br />

—<br />

—<br />

4.3.13/56<br />

ID The IIM provides an interface for reading device identification. —<br />

<strong>Multimedia</strong><br />

Peripheral<br />

KPP Keypad Port Connectivity<br />

Peripheral<br />

MPEG-4 MPEG-4 Video<br />

Encoder<br />

MSHC Memory Stick<br />

Host Controller<br />

<strong>Multimedia</strong><br />

Peripherals<br />

Connectivity<br />

Peripheral<br />

PADIO Pads I/O Buffers <strong>and</strong><br />

Drivers<br />

PCMCIA PCM Connectivity<br />

Peripheral<br />

PWM Pulse-Width<br />

Modulator<br />

RNGA R<strong>and</strong>om<br />

Number<br />

Generator<br />

Accelerator<br />

Timer<br />

Peripheral<br />

RTC Real Time Clock Timer<br />

Peripheral<br />

RTIC Run-Time<br />

Integrity<br />

Checkers<br />

Table 3. Digital <strong>and</strong> Analog Modules (continued)<br />

Functional<br />

Grouping<br />

Brief Description<br />

The IPU processes video <strong>and</strong> graphics functions in the MCI<strong>MX31</strong><br />

<strong>and</strong> interfaces to video, still image sensors, <strong>and</strong> displays.<br />

The KPP is used for keypad matrix scanning or as a general purpose<br />

I/O. This peripheral simplifies the software task of scanning a keypad<br />

matrix.<br />

The MPEG-4 encoder accelerates video compression, following the<br />

MPEG-4 st<strong>and</strong>ard<br />

The MSHC is placed in between the AIPS <strong>and</strong> the customer memory<br />

stick to support data transfer from the MCI<strong>MX31</strong> to the customer<br />

memory stick.<br />

The PADIO serves as the interface between the internal modules <strong>and</strong><br />

the device's external connections.<br />

The PCMCIA Host Adapter provides the control logic for PCMCIA<br />

socket interfaces.<br />

The PWM has a 16-bit counter <strong>and</strong> is optimized to generate sound<br />

from stored sample audio images. It can also generate tones.<br />

Security The RNGA module is a digital integrated circuit capable of generating<br />

32-bit r<strong>and</strong>om numbers. It is designed to comply with FIPS-140<br />

st<strong>and</strong>ards for r<strong>and</strong>omness <strong>and</strong> non-determinism.<br />

The RTC module provides a current stamp of seconds, minutes,<br />

hours, <strong>and</strong> days. Alarm <strong>and</strong> timer functions are also available for<br />

programming. The RTC supports dates from the year 1980 to 2050.<br />

Security The RTIC ensures the integrity of the peripheral memory contents<br />

<strong>and</strong> assists with boot authentication.<br />

Section/<br />

Page<br />

4.3.14/57,<br />

4.3.15/59<br />

—<br />

—<br />

4.3.16/84<br />

4.3.1/22<br />

4.3.17/86<br />

4.3.18/88<br />

—<br />

—<br />

—<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Functional Description <strong>and</strong> Application Information<br />

Block<br />

Mnemonic<br />

Block Name<br />

SCC Security<br />

Controller<br />

Module<br />

SDHC Secured Digital<br />

Host Controller<br />

SDMA Smart Direct<br />

Memory Access<br />

SIM Subscriber<br />

Identification<br />

Module<br />

SJC Secure JTAG<br />

Controller<br />

SSI Synchronous<br />

Serial Interface<br />

UART Universal<br />

Asynchronous<br />

Receiver/Trans<br />

mitter<br />

USB Universal Serial<br />

Bus—<br />

2 Host<br />

Controllers <strong>and</strong><br />

1 OTG<br />

(On-The-Go)<br />

WDOG Watchdog Timer<br />

Module<br />

Table 3. Digital <strong>and</strong> Analog Modules (continued)<br />

Functional<br />

Grouping<br />

Security The SCC is a hardware component composed of two blocks—the<br />

Secure RAM module, <strong>and</strong> the Security Monitor. The Secure RAM<br />

provides a way of securely storing sensitive information.<br />

Connectivity<br />

Peripheral<br />

System<br />

Control<br />

Peripheral<br />

Connectivity<br />

Peripheral<br />

The SDHC controls the MMC (MultiMediaCard), SD (Secure Digital)<br />

memory, <strong>and</strong> I/O cards by sending comm<strong>and</strong>s to cards <strong>and</strong><br />

performing data accesses to <strong>and</strong> from the cards.<br />

The SDMA controller maximizes the system’s performance by<br />

relieving the ARM core of the task of bulk data transfer from memory<br />

to memory or between memory <strong>and</strong> on-chip peripherals.<br />

The SIM interfaces to an external Subscriber Identification Card. It is<br />

an asynchronous serial interface adapted for Smart Card<br />

communication for e-commerce applications.<br />

Debug The SJC provides debug <strong>and</strong> test control with maximum security <strong>and</strong><br />

provides a flexible architecture for future derivatives or future<br />

multi-cores architecture.<br />

<strong>Multimedia</strong><br />

Peripheral<br />

Connectivity<br />

Peripheral<br />

Connectivity<br />

Peripherals<br />

Timer<br />

Peripheral<br />

Brief Description<br />

The SSI is a full-duplex, serial port that allows the device to<br />

communicate with a variety of serial devices, such as st<strong>and</strong>ard<br />

codecs, Digital Signal <strong>Processors</strong> (DSPs), microprocessors,<br />

peripherals, <strong>and</strong> popular industry audio codecs that implement the<br />

inter-IC sound bus st<strong>and</strong>ard (I2S) <strong>and</strong> Intel AC97 st<strong>and</strong>ard.<br />

The UART provides serial communication capability with external<br />

devices through an RS-232 cable or through use of external circuitry<br />

that converts infrared signals to electrical signals (for reception) or<br />

transforms electrical signals to signals that drive an infrared LED (for<br />

transmission) to provide low speed IrDA compatibility.<br />

USB Host 1 is designed to support transceiverless connection to<br />

the on-board peripherals in Low Speed <strong>and</strong> Full Speed mode, <strong>and</strong><br />

connection to the ULPI (UTMI+ Low-Pin Count) <strong>and</strong> Legacy Full<br />

Speed transceivers.<br />

USB Host 2 is designed to support transceiverless connection to<br />

the Cellular Modem Baseb<strong>and</strong> Processor.<br />

The USB-OTG controller offers HS/FS/LS capabilities in Host<br />

mode <strong>and</strong> HS/FS in device mode. In Host mode, the controller<br />

supports direct connection of a FS/LS device (without external<br />

hub). In device (bypass) mode, the OTG port functions as gateway<br />

between the Host 1 Port <strong>and</strong> the OTG transceiver.<br />

The WDOG module protects against system failures by providing a<br />

method for the system to recover from unexpected events or<br />

programming errors.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Section/<br />

Page<br />

8 Freescale Semiconductor<br />

—<br />

4.3.19/89<br />

—<br />

4.3.20/90<br />

4.3.21/94<br />

4.3.22/96<br />

—<br />

4.3.23/104<br />

—<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


3 Signal Descriptions<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Signal Descriptions<br />

Signal descriptions are in the reference manual. Special signal considerations are listed following this<br />

paragraph. The BGA ball assignment is in Section 5, “Package Information <strong>and</strong> Pinout.”<br />

Special Signal Considerations:<br />

• Tamper detect (GPIO1_6)<br />

Tamper detect logic is used to issue a security violation. This logic is activated if the tamper detect<br />

input is asserted.<br />

The tamper detect logic is disabled after reset. After enabling the logic, it is impossible to disable<br />

it until the next reset. The GPR[16] bit functions as the tamper detect enable bit.<br />

GPIO1_6 functions similarly to other I/O with GPIO capabilities regardless of the status of the<br />

tamper detect enable bit. (For example, the GPIO1_6 can function as an input with GPIO<br />

capabilities, such as sampling through PSR or generating interrupts.)<br />

Power ready (GPIO1_5)<br />

The power ready input, GPIO1_5, should be connected to an external power management IC power<br />

ready output signal. If not used, GPIO1_5 must either be (a) externally pulled-up to NVCC1 or (b)<br />

a no connect, internally pulled-up by enabling the on-chip pull-up resistor. GPIO1_5 is a dedicated<br />

input <strong>and</strong> cannot be used as a general-purpose input/output.<br />

SJC_MOD<br />

SJC_MOD must be externally connected to GND for normal operation. Termination to GND<br />

through an external pull-down resistor (such as 1 kΩ) is allowed, but the value should be much<br />

smaller than the on-chip 100 kΩ pull-up.<br />

CE_CONTROL<br />

CE_CONTROL is a reserved input <strong>and</strong> must be externally tied to GND through a 1 kΩ resistor.<br />

TTM_PAD<br />

TTM_PAD is for Freescale factory use only. Control bits indicate pull-up/down disabled. However,<br />

TTM_PAD is actually connected to an on-chip pull-down device. Users must either float this signal<br />

or tie it to GND.<br />

M_REQUEST <strong>and</strong> M_GRANT<br />

These two signals are not utilized internally. The user should make no connection to these signals.<br />

Clock Source Select (CLKSS)<br />

The CLKSS is the input that selects the default reference clock source providing input to the DPLL.<br />

To select CKIH, tie CLKSS to NVCC1. To select CKIL, tie CLKSS to ground. After initialization,<br />

the reference clock source can be changed (initial setting is overwritten) by programming the<br />

PRCS bits in the CCMR.<br />

Freescale Semiconductor 9<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4 Electrical Characteristics<br />

This section provides the device-level <strong>and</strong> module-level electrical characteristics for the MCI<strong>MX31</strong>.<br />

4.1 Chip-Level Conditions<br />

This section provides the device-level electrical characteristics for the IC. See Table 4 for a quick reference<br />

to the individual tables <strong>and</strong> sections.<br />

Table 4. MCI<strong>MX31</strong> Chip-Level Conditions<br />

For these characteristics, … Topic appears …<br />

Table 5, “Absolute Maximum Ratings” on page 10<br />

Table 7, “Thermal Resistance <strong>Data</strong>—19 × 19 mm Package” on page 11<br />

Table 8, “Operating Ranges” on page 13<br />

Table 9, “Specific Operating Ranges for Silicon Revision 2.0” on page 14<br />

Table 10, “Interface Frequency” on page 14<br />

Section 4.1.1, “Supply Current Specifications” on page 16<br />

Section 4.2, “Supply Power-Up/Power-Down Requirements <strong>and</strong> Restrictions” on page 19<br />

CAUTION<br />

Stresses beyond those listed under Table 5 may cause permanent damage to<br />

the device. These are stress ratings only. Functional operation of the device<br />

at these or any other conditions beyond those indicated under Table 8,<br />

"Operating Ranges," on page 13 is not implied. Exposure to<br />

absolute-maximum-rated conditions for extended periods may affect device<br />

reliability.<br />

Table 5. Absolute Maximum Ratings<br />

Parameter Symbol Min Max Units<br />

Supply Voltage (Core) QVCCmax –0.5 1.65 V<br />

Supply Voltage (I/O) NVCCmax –0.5 3.3 V<br />

Input Voltage Range VImax –0.5 NVCC +0.3 V<br />

Storage Temperature<br />

ESD Damage Immunity:<br />

Tstorage –40 125 oC Human Body Model (HBM)<br />

Machine Model (MM)<br />

Vesd —<br />

—<br />

1500<br />

200<br />

V<br />

Charge Device Model (CDM) — 500<br />

Offset voltage allowed in run mode between core supplies.<br />

1<br />

Vcore_offset — 15 mV<br />

1 The offset is the difference between all core voltage pair combinations of QVCC, QVCC1, <strong>and</strong> QVCC4.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

10 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 6 provides the thermal resistance data for the 14 × 14 mm, 0.5 mm pitch package.<br />

Table 6. Thermal Resistance <strong>Data</strong>—14 × 14 mm Package<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Rating Board Symbol Value Unit Notes<br />

Junction to Ambient (natural convection) Single layer board (1s) R θJA 56 °C/W 1, 2, 3<br />

Junction to Ambient (natural convection) Four layer board (2s2p) R θJA 30 °C/W 1, 3<br />

Junction to Ambient (@200 ft/min) Single layer board (1s) R θJMA 46 °C/W 1, 2, 3<br />

Junction to Ambient (@200 ft/min) Four layer board (2s2p) R θJMA 26 °C/W 1, 3<br />

Junction to Board — R θJB 17 °C/W 1, 4<br />

Junction to Case — R θJC 10 °C/W 1, 5<br />

Junction to Package Top (natural convection) — Ψ JT 2 °C/W 1, 6<br />

NOTES<br />

1. Junction temperature is a function of die size, on-chip power dissipation, package thermal<br />

resistance, mounting site (board) temperature, ambient temperature, air flow, power dissipation of<br />

other components on the board, <strong>and</strong> board thermal resistance.<br />

2. Per JEDEC JESD51-2 with the single layer board horizontal. Board meets JESD51-9<br />

specification.<br />

3. Per JEDEC JESD51-6 with the board horizontal.<br />

4. Thermal resistance between the die <strong>and</strong> the printed circuit board per JEDEC JESD51-8. Board<br />

temperature is measured on the top surface of the board near the package.<br />

5. Thermal resistance between the die <strong>and</strong> the case top surface as measured by the cold plate method<br />

(MIL SPEC-883 Method 1012.1).<br />

6. Thermal characterization parameter indicating the temperature difference between package top<br />

<strong>and</strong> the junction temperature per JEDEC JESD51-2. When Greek letters are not available, the<br />

thermal characterization parameter is written as Psi-JT.<br />

Table 7 provides the thermal resistance data for the 19 × 19 mm, 0.8 mm pitch package.<br />

Table 7. Thermal Resistance <strong>Data</strong>—19 × 19 mm Package<br />

Rating Board Symbol Value Unit Notes<br />

Junction to Ambient (natural convection) Single layer board (1s) R θJA 46 °C/W 1, 2, 3<br />

Junction to Ambient (natural convection) Four layer board (2s2p) R θJA 29 °C/W 1, 2, 3<br />

Junction to Ambient (@200 ft/min) Single layer board (1s) R θJMA 38 °C/W 1, 2, 3<br />

Junction to Ambient (@200 ft/min) Four layer board (2s2p) R θJMA 25 °C/W 1, 2, 3<br />

Junction to Board — R θJB 19 °C/W 1, 3<br />

Junction to Case (Top) — R θJCtop 10 °C/W 1, 4<br />

Junction to Package Top (natural convection) — Ψ JT 2 °C/W 1, 5<br />

Freescale Semiconductor 11<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

NOTES<br />

1. Junction temperature is a function of die size, on-chip power dissipation, package thermal<br />

resistance, mounting site (board) temperature, ambient temperature, air flow, power dissipation of<br />

other components on the board, <strong>and</strong> board thermal resistance.<br />

2. Junction-to-Ambient Thermal Resistance determined per JEDEC JESD51-3 <strong>and</strong> JESD51-6.<br />

Thermal test board meets JEDEC specification for this package.<br />

3. Junction-to-Board thermal resistance determined per JEDEC JESD51-8. Thermal test board<br />

meets JEDEC specification for the specified package.<br />

4. Junction-to-Case at the top of the package determined using MIL-STD 883 Method 1012.1. The<br />

cold plate temperature is used for the case temperature. Reported value includes the thermal<br />

resistance of the interface layer.<br />

5. Thermal characterization parameter indicating the temperature difference between the package<br />

top <strong>and</strong> the junction temperature per JEDEC JESD51-2. When Greek letters are not available, the<br />

thermal characterization parameter is written as Psi-JT.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

12 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 8 provides the operating ranges.<br />

NOTE<br />

The term NVCC in this section refers to the associated supply rail of an<br />

input or output. The association is shown in the Signal Multiplexing chapter<br />

of the reference manual.<br />

CAUTION<br />

NVCC6 <strong>and</strong> NVCC9 must be at the same voltage potential. These supplies<br />

are connected together on-chip to optimize ESD damage immunity.<br />

Table 8. Operating Ranges<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Symbol Parameter Min Max Units<br />

QVCC, Core Operating Voltage<br />

QVCC1,<br />

QVCC4<br />

1,2,3<br />

Silicon rev 1.15, 1.2, <strong>and</strong> 2.0 0 ≤ fARM ≤ 400 MHz, non-overdrive<br />

0 ≤ fARM ≤ 400 MHz, overdrive V<br />

4<br />

0 ≤ fARM ≤ 532 MHz, overdrive4 1.22<br />

>1.47<br />

1.55<br />

1.47<br />

1.65<br />

1.65<br />

State Retention Voltage 5<br />

0.95 —<br />

NVCC1, I/O Supply Voltage, except DDR<br />

NVCC3–10<br />

6 non-overdrive<br />

overdrive 7<br />

1.75 3.1 V<br />

>3.1 3.3<br />

NVCC2,<br />

NVCC21,<br />

NVCC22<br />

I/O Supply Voltage, DDR only 1.75 1.95 V<br />

FVCC, MVCC, PLL (Phase-Locked Loop) <strong>and</strong> FPM (Frequency Pre-multiplier) Supply Voltage<br />

SVCC, UVCC<br />

8<br />

non-overdrive<br />

overdrive 4<br />

V<br />

1.3 1.47<br />

>1.47 1.6<br />

IOQVDD On-device Level Shifter Supply Voltage 1.6 1.9 V<br />

FUSE_VDD<br />

Fusebox read Supply Voltage9, 10<br />

Fusebox write (program) Supply Voltage<br />

1.65 1.95 V<br />

11 3.0 3.3 V<br />

TA Operating Ambient Temperature Range 12<br />

0 70<br />

o<br />

C<br />

1 Measured at package balls, including peripherals, ARM, <strong>and</strong> L2 cache supplies (QVCC, QVCC1, QVCC4, respectively).<br />

2 The core voltage must be higher than 1.38V to avoid corrupted data during transfers from the USB HS. Please refer to Errata<br />

file ENGcm02610 ID.<br />

3 If the Core voltage is supplied by the MC13738, it will be 1.6 ± 0.05 V during the power-up sequence. This is allowed. After<br />

power-up the voltage should be reduced to avoid operation in overdrive mode.<br />

4 Supply voltage is considered “overdrive” for voltages above 1.47 V. Operation time in overdrive—whether switching or<br />

not—must be limited to a cumulative duration of 1.25 years (10,950 hours) or less to sustain the maximum operating voltage<br />

without significant device degradation—for example, 25% (average 6 hours out of 24 yours per day) duty cycle for 5-year rated<br />

equipment. To tolerate the maximum operating overdrive voltage for 10 years, the device must have a duty cycle of 12.5% or<br />

less in overdrive (for example 3 out of 24 hours per day). Below 1.47V, duty cycle restrictions may apply for equipment rated<br />

above 5 years.<br />

5 The SR voltage is applied to QVCC, QVCC1, <strong>and</strong> QVCC4 after the device is placed in SR mode. The Real-Time Clock (RTC)<br />

is operational in State Retention (SR) mode.<br />

6 Overshoot <strong>and</strong> undershoot conditions (transitions above NVCC <strong>and</strong> below GND) on I/O must be held below 0.6 V, <strong>and</strong> the<br />

duration of the overshoot/undershoot must not exceed 10% of the system clock cycle. Overshoot/undershoot must be<br />

controlled through printed circuit board layout, transmission line impedance matching, signal line termination, or other<br />

methods. Non-compliance to this specification may affect device reliability or cause permanent damage to the device.<br />

Freescale Semiconductor 13<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

7<br />

Supply voltage is considered “overdrive” for voltages above 3.1 V. Operation time in overdrive—whether switching or<br />

not—must be limited to a cumulative duration of 1 year (8,760 hours) or less to sustain the maximum operating voltage without<br />

significant device degradation—for example, 20% (average 4.8 hours out of 24 hours per day) duty cycle for 5-year rated<br />

equipment. Operation at 3.3 V that exceeds a cumulative 3,504 hours may cause non-operation whenever supply voltage is<br />

reduced to 1.8 V; degradation may render the device too slow or inoperable. Below 3.1 V, duty cycle restrictions may apply for<br />

equipment rated above 5 years.<br />

8<br />

For normal operating conditions, PLLs’ <strong>and</strong> core supplies must maintain the following relation: PLL ≥ Core – 100 mV. In other<br />

words, for a 1.6 V core supply, PLL supplies must be set to 1.5 V or higher. This restriction is no longer necessary on mask<br />

set M91E. PLL supplies may be set independently of core supply. PLL voltage must not be altered after power up, otherwise<br />

the PLL will be unstable <strong>and</strong> lose lock. To minimize inducing noise on the PLL supply line, source the voltage from a low-noise,<br />

dedicated supply. PLL parameters in Table 31, "DPLL Specifications," on page 37, are guaranteed over the entire specified<br />

voltage range.<br />

9<br />

Fusebox read supply voltage applies to silicon Revisions 1.2 <strong>and</strong> previous.<br />

10<br />

In read mode, FUSE_VDD can be floated or grounded for mask set M91E (silicon Revision 2.0).<br />

11<br />

Fuses might be inadvertently blown if written to while the voltage is below this minimum.<br />

12<br />

The temperature range given is for the consumer version. Please refer to Table 1 for extended temperature range offerings<br />

<strong>and</strong> the associated part numbers.<br />

Table 9. Specific Operating Ranges for Silicon Revision 2.0<br />

Symbol Parameter Min Max Units<br />

Fusebox read Supply Voltage1<br />

FUSE_VDD<br />

Fusebox write (program) Supply Voltage<br />

1<br />

In read mode, FUSE_VDD should be floated or grounded.<br />

2<br />

2<br />

Fuses might be inadvertently blown if written to while the voltage is below the minimum.<br />

Table 10 provides information for interface frequency limits. For more details about clocks characteristics,<br />

see Section 4.3.8, “DPLL Electrical Specifications,” <strong>and</strong> Section 4.3.3, “Clock Amplifier Module (CAMP)<br />

Electrical Characteristics.”<br />

Table 10. Interface Frequency<br />

Table 11 shows the fusebox supply current parameters.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

— — V<br />

3.0 3.3 V<br />

ID Parameter Symbol Min Typ Max Units<br />

1 JTAG TCK Frequency f JTAG DC 5 10 MHz<br />

2 CKIL Frequency 1<br />

3 CKIH Frequency 2<br />

f CKIL 32 32.768 38.4 kHz<br />

f CKIH 15 26 75 MHz<br />

1 CKIL must be driven by an external clock source to ensure proper start-up <strong>and</strong> operation of the device. CKIL is needed to clock<br />

the internal reset synchronizer, the watchdog, <strong>and</strong> the real-time clock.<br />

2 DPTC functionality, specifically the voltage/frequency relation table, is dependent on CKIH frequency. At the time of publication,<br />

st<strong>and</strong>ard tables used by Freescale OSs provided for a CKIH frequency of 26 MHz only. Any deviation from this frequency<br />

requires an update to the OS. For more details, refer to the particular OS user's guide documentation.<br />

14 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 11. Fusebox Supply Current Parameters<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Ref. Num Description Symbol Minimum Typical Maximum Units<br />

1 eFuse Program Current. 1<br />

Current to program one eFuse bit: efuse_pgm = 3.0 V<br />

2 eFuse Read Current 2<br />

Current to read an 8-bit eFuse word<br />

vdd_fusebox = 1.875 V<br />

I program — 35 60 mA<br />

I read — 5 8 mA<br />

1<br />

The current Iprogram is during program time (tprogram). 2<br />

The current Iread is present for approximately 50 ns of the read access to the 8-bit word, <strong>and</strong> only applies to Silicon Rev. 1.2<br />

<strong>and</strong> previous.<br />

Freescale Semiconductor 15<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.1.1 Supply Current Specifications<br />

Table 12 shows the core current consumption for 0°C to 70°C for Silicon Revision 1.2 <strong>and</strong> previous for the<br />

MCI<strong>MX31</strong>.<br />

Table 12. Current Consumption for 0°C to 70°C 1, 2 for Silicon Revision 1.2 <strong>and</strong> Previous<br />

Mode Conditions<br />

State<br />

Retention<br />

QVCC <strong>and</strong> QVCC1 = 0.95 V<br />

L2 caches are power gated (QVCC4 = 0 V)<br />

All PLLs are off, VCC = 1.4 V<br />

ARM is in well bias<br />

FPM is off<br />

32 kHz input is on<br />

CKIH input is off<br />

CAMP is off<br />

TCK input is off<br />

All modules are off<br />

No external resistive loads<br />

RNGA oscillator is off<br />

Wait QVCC,QVCC1, <strong>and</strong> QVCC4 = 1.22 V<br />

ARM is in wait for interrupt mode<br />

MAX is active<br />

L2 cache is stopped but powered<br />

MCU PLL is on (532 MHz), VCC = 1.4 V<br />

USB PLL <strong>and</strong> SPLL are off, VCC = 1.4 V<br />

FPM is on<br />

CKIH input is on<br />

CAMP is on<br />

32 kHz input is on<br />

All clocks are gated off<br />

All modules are off<br />

(by programming CGR[2:0] registers)<br />

RNGA oscillator is off<br />

No external resistive loads<br />

1 Typical column: TA = 25°C<br />

2 Maximum column: TA = 70°C<br />

QVCC<br />

(Peripheral)<br />

QVCC1<br />

(ARM)<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

QVCC4<br />

(L2)<br />

FVCC + MVCC<br />

+ SVCC + UVCC<br />

(PLL)<br />

Typ Max Typ Max Typ Max Typ Max<br />

16 Freescale Semiconductor<br />

Unit<br />

0.80 — 0.50 — — — 0.04 — mA<br />

6.00 — 3.00 — 0.04 — 3.50 — mA<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Table 13 shows the core current consumption for –40°C to 85°C for Silicon Revision 2.0 for the<br />

MCI<strong>MX31</strong>.<br />

1 Typical column: TA = 25°C<br />

2 Maximum column: TA = 85°C<br />

Table 13. Current Consumption for –40°C to 85°C 1, 2 for Silicon Revision 2.0<br />

Mode Conditions<br />

Deep<br />

Sleep<br />

State<br />

Retention<br />

QVCC = 0.95 V<br />

ARM <strong>and</strong> L2 caches are power gated<br />

(QVCC1 = QVCC4 = 0 V)<br />

All PLLs are off, VCC = 1.4 V<br />

ARM is in well bias<br />

FPM is off<br />

32 kHz input is on<br />

CKIH input is off<br />

CAMP is off<br />

TCK input is off<br />

All modules are off<br />

No external resistive loads<br />

RNGA oscillator is off<br />

QVCC <strong>and</strong> QVCC1 = 0.95 V<br />

L2 caches are power gated (QVCC4 = 0 V)<br />

All PLLs are off, VCC = 1.4 V<br />

ARM is in well bias<br />

FPM is off<br />

32 kHz input is on<br />

CKIH input is off<br />

CAMP is off<br />

TCK input is off<br />

All modules are off<br />

No external resistive loads<br />

RNGA oscillator is off<br />

Wait QVCC,QVCC1, <strong>and</strong> QVCC4 = 1.22 V<br />

ARM is in wait for interrupt mode<br />

MAX is active<br />

L2 cache is stopped but powered<br />

MCU PLL is on (532 MHz), VCC = 1.4 V<br />

USB PLL <strong>and</strong> SPLL are off, VCC = 1.4 V<br />

FPM is on<br />

CKIH input is on<br />

CAMP is on<br />

32 kHz input is on<br />

All clocks are gated off<br />

All modules are off<br />

(by programming CGR[2:0] registers)<br />

RNGA oscillator is off<br />

No external resistive loads<br />

QVCC<br />

(Peripheral)<br />

QVCC1<br />

(ARM)<br />

QVCC4<br />

(L2)<br />

FVCC + MVCC<br />

+ SVCC + UVCC<br />

(PLL)<br />

Typ Max Typ Max Typ Max Typ Max<br />

Freescale Semiconductor 17<br />

Unit<br />

0.16 5.50 — — — — 0.02 0.10 mA<br />

0.16 5.50 0.07 2.20 — — 0.02 0.10 mA<br />

6.00 15.00 2.20 25.00 0.03 0.29 3.60 4.40 mA<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

Table 14 shows the core current consumption for 0°C to 70°C for Silicon Revision 2.0 for the MCI<strong>MX31</strong>.<br />

Table 14. Current Consumption for 0°C to 70°C 1, 2 for Silicon Revision 2.0<br />

Mode Conditions<br />

Deep<br />

Sleep<br />

State<br />

Retention<br />

QVCC = 0.95 V<br />

ARM <strong>and</strong> L2 caches are power gated<br />

(QVCC1 2= QVCC4 = 0 V)<br />

All PLLs are off, VCC = 1.4 V<br />

ARM is in well bias<br />

FPM is off<br />

32 kHz input is on<br />

CKIH input is off<br />

CAMP is off<br />

TCK input is off<br />

All modules are off<br />

No external resistive loads<br />

RNGA oscillator is off<br />

QVCC <strong>and</strong> QVCC1 = 0.95 V<br />

L2 caches are power gated (QVCC4 = 0 V)<br />

All PLLs are off, VCC = 1.4 V<br />

ARM is in well bias<br />

FPM is off<br />

32 kHz input is on<br />

CKIH input is off<br />

CAMP is off<br />

TCK input is off<br />

All modules are off<br />

No external resistive loads<br />

RNGA oscillator is off<br />

Wait QVCC,QVCC1, <strong>and</strong> QVCC4 = 1.22 V<br />

ARM is in wait for interrupt mode<br />

MAX is active<br />

L2 cache is stopped but powered<br />

MCU PLL is on (532 MHz), VCC = 1.4 V<br />

USB PLL <strong>and</strong> SPLL are off, VCC = 1.4 V<br />

FPM is on<br />

CKIH input is on<br />

CAMP is on<br />

32 kHz input is on<br />

All clocks are gated off<br />

All modules are off<br />

(by programming CGR[2:0] registers)<br />

RNGA oscillator is off<br />

No external resistive loads<br />

1 Typical column: TA = 25°C<br />

2 Maximum column: TA = 70°C<br />

QVCC<br />

(Peripheral)<br />

QVCC1<br />

(ARM)<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

QVCC4<br />

(L2)<br />

FVCC, +MVCC,<br />

+SVCC, +UVCC<br />

(PLL)<br />

Typ Max Typ Max Typ Max Typ Max<br />

18 Freescale Semiconductor<br />

Unit<br />

0.16 2.50 — — — — 0.02 0.10 mA<br />

0.16 2.50 0.07 1.60 — — 0.02 0.10 mA<br />

6.00 13.00 2.20 16.00 0.03 0.17 3.60 4.40 mA<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

4.2 Supply Power-Up/Power-Down Requirements <strong>and</strong> Restrictions<br />

Any MCI<strong>MX31</strong> board design must comply with the power-up <strong>and</strong> power-down sequence guidelines as<br />

described in this section to guarantee reliable operation of the device. Any deviation from these sequences<br />

may result in any or all of the following situations:<br />

Cause excessive current during power up phase<br />

Prevent the device from booting<br />

Cause irreversible damage to the MCI<strong>MX31</strong> (worst-case scenario)<br />

4.2.1 Powering Up<br />

The Power On Reset (POR) pin must be kept asserted (low) throughout the power up sequence. Power up<br />

logic must guarantee that all power sources reach their target values prior to the release (de-assertion) of<br />

POR. Figure 2 shows the power-up sequence for silicon Revisions 1.2 <strong>and</strong> previous. Figure 3 <strong>and</strong> Figure 4<br />

show the power-up sequence for silicon Revision 2.0.<br />

NOTE<br />

Stages need to be performed in the order shown; however, within each stage,<br />

supplies can be powered up in any order. For example, supplies IOQVDD,<br />

NVCC1, <strong>and</strong> NVCC3 through NVCC10 do not need to be powered up in the<br />

order shown.<br />

CAUTION<br />

NVCC6 <strong>and</strong> NVCC9 must be at the same voltage potential. These supplies<br />

are connected together on-chip to optimize ESD damage immunity.<br />

Freescale Semiconductor 19<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

1<br />

QVCC, QVCC1, QVCC4<br />

1, 2<br />

IOQVDD, NVCC1, NVCC3–10<br />

1, 3<br />

FUSE_VDD<br />

Hold POR Asserted 1<br />

1<br />

NVCC2, NVCC21, NVCC22<br />

Release POR<br />

FVCC, MVCC,<br />

1<br />

SVCC, UVCC<br />

Figure 2. Power-Up Sequence for Silicon Revisions 1.2 <strong>and</strong> Previous<br />

4.2.1.1 Power-Up Sequence for Silicon Revision 2<br />

Notes:<br />

1 The board design must guarantee that supplies reach 90% level before transition<br />

to the next state, using Power Management IC or other means.<br />

2 The NVCC1 supply must not precede IOQVDD by more than 0.2 V until IOQVDD<br />

has reached 1.5 V. If IOQVDD is powered up first, there are no restrictions.<br />

3 It is allowable for FVCC, MVCC, SVCC, <strong>and</strong> UVCC to be up after FUSE_VDD.<br />

Silicon revision 2.0 offers two options for power-up sequencing. Option 1 is backwards compatible with<br />

silicon revision 1.2 <strong>and</strong> earlier versions of the IC. It should be noted that using option 1 on silicon Rev. 2.0<br />

introduces a slight increase in current drain on IOQVDD when IOQVDD is raised before NVCC21. The<br />

expected resulting increase is in the range of 3 mA to 5 mA, which does not pose a risk to the IC.<br />

Option 2 is an alternative power-up sequence that allows the powering up of NVCC2, NVCC21, NVCC22<br />

with IOQVDD, NVCC1, <strong>and</strong> NVCC3-10 without producing a current drain increase on IOQVDD.<br />

These two power-up options on the 2.0 silicon allow the user to select the optimum power-up sequence for<br />

their application.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

20 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


1, 3, 5<br />

NVCC2, NVCC21, NVCC22<br />

Hold POR Asserted<br />

1<br />

QVCC, QVCC1, QVCC4<br />

1, 2<br />

IOQVDD, NVCC1, NVCC3–10<br />

4<br />

Release POR<br />

Figure 3. Option 1 Power-Up Sequence (Silicon Revision 2.0)<br />

Hold POR Asserted<br />

1<br />

QVCC, QVCC1, QVCC4<br />

FVCC, MVCC, SVCC, UVCC 1,3<br />

1, 2,3<br />

IOQVDD, NVCC1, NVCC3–10, NVCC2, NVCC21, NVCC22<br />

FVCC, MVCC, SVCC, UVCC 1<br />

4<br />

Release POR<br />

Figure 4. Option 2 Power-Up Sequence (Silicon Revision 2.0)<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Notes:<br />

1 The board design must guarantee that supplies reach<br />

90% level before transition to the next state, using Power<br />

Management IC or other means.<br />

2 The NVCC1 supply must not precede IOQVDD by more<br />

than 0.2 V until IOQVDD has reached 1.5 V. If IOQVDD<br />

is powered up first, there are no restrictions.<br />

3 The parallel paths in the flow indicate that supply group<br />

NVCC2, NVCC21, <strong>and</strong> NVCC22, <strong>and</strong> supply group<br />

FVCC, MVCC, SVCC, <strong>and</strong> UVCC ramp-ups are<br />

independent. Note that this power-up sequence is<br />

backward compatible to Silicon Revs. 1.15 <strong>and</strong> 1.2,<br />

because NVCC2x ramp-up proceeding PLL supplies is<br />

allowed.<br />

4 Unlike the power-up sequence for Silicon Revision 1.2,<br />

FUSE_VDD should not be driven on power-up for Silicon<br />

Revision 2.0. This supply is dedicated for fuse burning<br />

(programming), <strong>and</strong> should not be driven upon boot-up.<br />

5 Raising IOQVDD before NVCC21 produces a slight<br />

increase in current drain on IOQVDD of approximately<br />

3–5 mA. The current increase will not damage the IC.<br />

Refer to Errata ID TLSbo91750 for details.<br />

Notes:<br />

1 The board design must guarantee that supplies reach<br />

90% level before transition to the next state, using Power<br />

Management IC or other means.<br />

2 The NVCC1 supply must not precede IOQVDD by more<br />

than 0.2 V until IOQVDD has reached 1.5 V. If IOQVDD<br />

is powered up first, there are no restrictions.<br />

3 Raising NVCC2, NVCC21, <strong>and</strong> NVCC22 at the same<br />

time as IOQVDD does not produce the slight increase in<br />

current drain on IOQVDD (as described in Figure 3,<br />

Note 5).<br />

4 Unlike the power-up sequence for Silicon Revision 1.2,<br />

FUSE_VDD should not be driven on power-up for Silicon<br />

Revision 2.0. This supply is dedicated for fuse burning<br />

(programming), <strong>and</strong> should not be driven upon boot-up.<br />

Freescale Semiconductor 21<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.2.2 Powering Down<br />

The power-down sequence prior to silicon Revision 2.0 should be completed as follows:<br />

1. Lower the FUSE_VDD supply (when in write mode).<br />

2. Lower the remaining supplies.<br />

For silicon revisions beginning with Revision 2.0 there is no special requirements for power down<br />

sequence.<br />

4.3 Module-Level Electrical Specifications<br />

This section contains the MCI<strong>MX31</strong> electrical information including timing specifications, arranged in<br />

alphabetical order by module name.<br />

4.3.1 I/O Pad (PADIO) Electrical Specifications<br />

This section specifies the AC/DC characterization of functional I/O of the MCI<strong>MX31</strong>. There are two main<br />

types of I/O: regular <strong>and</strong> DDR. In this document, the “Regular” type is referred to as GPIO.<br />

4.3.1.1 DC Electrical Characteristics<br />

The MCI<strong>MX31</strong> I/O parameters appear in Table 15 for GPIO. See Table 8 for temperature <strong>and</strong> supply<br />

voltage ranges.<br />

NOTE<br />

The term NVCC in this section refers to the associated supply rail of an<br />

input or output. The association is shown in the Signal Multiplexing chapter<br />

of the reference manual. NVCC for Table 15 refers to NVCC1 <strong>and</strong><br />

NVCC3–10; QVCC refers to QVCC, QVCC1, <strong>and</strong> QVCC4.<br />

Table 15. GPIO DC Electrical Parameters<br />

Parameter Symbol Test Conditions Min Typ Max Units<br />

High-level output voltage V OH I OH = –1 mA NVCC –0.15 — — V<br />

I OH = specified Drive 0.8*NVCC — — V<br />

Low-level output voltage V OL I OL = 1 mA — — 0.15 V<br />

High-level output current, slow slew rate I OH_S V OH =0.8*NVCC<br />

Std Drive<br />

High Drive<br />

Max Drive<br />

High-level output current, fast slew rate I OH_F V OH =0.8*NVCC<br />

Std Drive<br />

High Drive<br />

Max Drive<br />

I OL = specified Drive — — 0.2*NVCC V<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

22 Freescale Semiconductor<br />

–2<br />

–4<br />

–8<br />

–4<br />

–6<br />

–8<br />

— — mA<br />

— — mA<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 15. GPIO DC Electrical Parameters (continued)<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Parameter Symbol Test Conditions Min Typ Max Units<br />

Low-level output current, slow slew rate I OL_S V OL =0.2*NVCC<br />

Std Drive<br />

High Drive<br />

Max Drive<br />

Low-level output current, fast slew rate I OL_F V OL=0.2*NVCC<br />

Std Drive<br />

High Drive<br />

Max Drive<br />

The MCI<strong>MX31</strong> I/O parameters appear in Table 16 for DDR (Double <strong>Data</strong> Rate). See Table 8, "Operating<br />

Ranges," on page 13 for temperature <strong>and</strong> supply voltage ranges.<br />

NOTE<br />

NVCC for Table 16 refers to NVCC2, NVCC21, <strong>and</strong> NVCC22.<br />

Freescale Semiconductor 23<br />

2<br />

4<br />

8<br />

4<br />

6<br />

8<br />

— — mA<br />

— — mA<br />

High-Level DC input voltage V IH — 0.7*NVCC — NVCC V<br />

Low-Level DC input voltage V IL — 0 — 0.3*QVCC V<br />

Input Hysteresis V HYS Hysteresis enabled 0.25 — — V<br />

Schmitt trigger VT+ V T + Hysteresis enabled 0.5*QVCC — — V<br />

Schmitt trigger VT– V T – Hysteresis enabled — — 0.5*QVCC V<br />

Pull-up resistor (100 kΩ PU) R PU — — 100 —<br />

Pull-down resistor (100 kΩ PD) R PD — — 100 —<br />

Input current (no PU/PD) I IN V I = NVCC or GND — — ±1 μA<br />

Input current (100 kΩ PU) I IN V I = 0<br />

V I = NVCC<br />

Input current (100 kΩ PD) I IN V I = 0<br />

V I = NVCC<br />

Tri-state leakage current I OZ V I = NVCC or GND<br />

I/O = High Z<br />

— — 25<br />

0.1<br />

— — 0.25<br />

28<br />

Table 16. DDR (Double <strong>Data</strong> Rate) I/O DC Electrical Parameters<br />

kΩ<br />

μA<br />

μA<br />

μA<br />

μA<br />

— — ±2 μA<br />

Parameter Symbol Test Conditions Min Typ Max Units<br />

High-level output voltage V OH I OH = –1 mA NVCC –0.12 — — V<br />

I OH = specified Drive 0.8*NVCC — — V<br />

Low-level output voltage V OL I OL = 1 mA — — 0.08 V<br />

High-level output current I OH V OH=0.8*NVCC<br />

Std Drive<br />

High Drive<br />

Max Drive<br />

DDR Drive 1<br />

I OL = specified Drive — — 0.2*NVCC V<br />

–3.6<br />

–7.2<br />

–10.8<br />

–14.4<br />

— — mA<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

Low-level output current I OL V OL =0.2*NVCC<br />

Std Drive<br />

High Drive<br />

Max Drive<br />

DDR Drive 1<br />

4.3.2 AC Electrical Characteristics<br />

Figure 5 depicts the load circuit for outputs. Figure 6 depicts the output transition time waveform. The<br />

range of operating conditions appears in Table 17 for slow general I/O, Table 18 for fast general I/O, <strong>and</strong><br />

Table 19 for DDR I/O (unless otherwise noted).<br />

Figure 5. Load Circuit for Output<br />

Figure 6. Output Transition Time Waveform<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

24 Freescale Semiconductor<br />

3.6<br />

7.2<br />

10.8<br />

14.4<br />

— — mA<br />

High-Level DC input voltage V IH — 0.7*NVCC NVCC NVCC+0.3 V<br />

Low-Level DC input voltage V IL — –0.3 0 0.3*NVCC V<br />

Tri-state leakage current I OZ V I = NVCC or GND<br />

I/O = High Z<br />

1 Use of DDR Drive can result in excessive overshoot <strong>and</strong> ringing.<br />

Output (at I/O)<br />

Table 16. DDR (Double <strong>Data</strong> Rate) I/O DC Electrical Parameters (continued)<br />

Parameter Symbol Test Conditions Min Typ Max Units<br />

From Output<br />

Under Test<br />

Test Point<br />

Table 17. AC Electrical Characteristics of Slow 1 General I/O<br />

ID Parameter Symbol<br />

Test<br />

Condition<br />

PA1 Output Transition Times (Max Drive) tpr 25 pF<br />

50 pF<br />

Output Transition Times (High Drive) tpr 25 pF<br />

50 pF<br />

Output Transition Times (Std Drive) tpr 25 pF<br />

50 pF<br />

— — ±2 μA<br />

Min Typ Max Units<br />

1 Fast/slow characteristic is selected per GPIO (where available) by “slew rate” control. See reference manual.<br />

CL<br />

CL includes package, probe <strong>and</strong> fixture capacitance<br />

PA1<br />

20%<br />

80% 80%<br />

PA1<br />

0.92<br />

1.5<br />

1.52<br />

2.75<br />

2.79<br />

5.39<br />

NVCC<br />

20%<br />

0V<br />

1.95<br />

2.98<br />

3.17<br />

4.75<br />

— 4.81<br />

8.42<br />

— 8.56<br />

16.43<br />

ns<br />

ns<br />

ns<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 18. AC Electrical Characteristics of Fast 1 General I/O 2<br />

ID Parameter Symbol<br />

Test<br />

Condition<br />

PA1 Output Transition Times (Max Drive) tpr 25 pF<br />

50 pF<br />

Output Transition Times (High Drive) tpr 25 pF<br />

50 pF<br />

Output Transition Times (Std Drive) tpr 25 pF<br />

50 pF<br />

1 Fast/slow characteristic is selected per GPIO (where available) by “slew rate” control. See reference manual.<br />

2 Use of GPIO in fast mode with the associated NVCC > 1.95 V can result in excessive overshoot <strong>and</strong> ringing.<br />

Table 19. AC Electrical Characteristics of DDR I/O<br />

ID Parameter Symbol<br />

PA1 Output Transition Times (DDR Drive) 1<br />

1 Use of DDR Drive can result in excessive overshoot <strong>and</strong> ringing.<br />

Test<br />

Condition<br />

tpr 25 pF<br />

50 pF<br />

Output Transition Times (Max Drive) tpr 25 pF<br />

50 pF<br />

Output Transition Times (High Drive) tpr 25 pF<br />

50 pF<br />

Output Transition Times (Std Drive) tpr 25 pF<br />

50 pF<br />

4.3.3 Clock Amplifier Module (CAMP) Electrical Characteristics<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Min Typ Max Units<br />

This section outlines the Clock Amplifier Module (CAMP) specific electrical characteristics. Table 20<br />

shows clock amplifier electrical characteristics.<br />

Freescale Semiconductor 25<br />

0.68<br />

1.34<br />

.91<br />

1.79<br />

1.36<br />

2.68<br />

1.33<br />

2.6<br />

1.77<br />

3.47<br />

2.64<br />

5.19<br />

2.07<br />

4.06<br />

2.74<br />

5.41<br />

4.12<br />

8.11<br />

ns<br />

ns<br />

ns<br />

Min Typ Max Units<br />

0.51<br />

0.97<br />

0.67<br />

1.29<br />

.99<br />

1.93<br />

1.96<br />

3.82<br />

Table 20. Clock Amplifier Electrical Characteristics for CKIH Input<br />

0.82<br />

1.58<br />

1.08<br />

2.1<br />

1.61<br />

3.13<br />

3.19<br />

6.24<br />

1.28<br />

2.46<br />

1.69<br />

3.27<br />

2.51<br />

4.89<br />

4.99<br />

9.73<br />

Parameter Min Typ Max Units<br />

Input Frequency 15 — 75 MHz<br />

VIL (for square wave input) 0 — 0.3 V<br />

VIH (for square wave input) (VDD 1 – 0.25)<br />

Sinusoidal Input Amplitude 0.4 2<br />

1<br />

VDD is the supply voltage of CAMP. See reference manual.<br />

2 This value of the sinusoidal input will be measured through characterization.<br />

— 3 V<br />

— VDD Vp-p<br />

Duty Cycle 45 50 55 %<br />

ns<br />

ns<br />

ns<br />

ns<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.4 1-Wire Electrical Specifications<br />

Figure 7 depicts the RPP timing, <strong>and</strong> Table 21 lists the RPP timing parameters.<br />

1-Wire bus<br />

(BATT_LINE)<br />

Figure 7. Reset <strong>and</strong> Presence Pulses (RPP) Timing Diagram<br />

Table 21. RPP Sequence Delay Comparisons Timing Parameters<br />

ID Parameters Symbol Min Typ Max Units<br />

OW1 Reset Time Low t RSTL 480 511 — µs<br />

OW2 Presence Detect High t PDH 15 — 60 µs<br />

OW3 Presence Detect Low t PDL 60 — 240 µs<br />

OW4 Reset Time High t RSTH 480 512 — µs<br />

Figure 8 depicts Write 0 Sequence timing, <strong>and</strong> Table 22 lists the timing parameters.<br />

1-Wire bus<br />

(BATT_LINE)<br />

OWIRE Tx<br />

“Reset Pulse”<br />

OW1<br />

OW5<br />

DS2502 Tx<br />

“Presence Pulse”<br />

Figure 8. Write 0 Sequence Timing Diagram<br />

Table 22. WR0 Sequence Timing Parameters<br />

ID Parameter Symbol Min Typ Max Units<br />

OW5 Write 0 Low Time t WR0_low 60 100 120 µs<br />

OW6 Transmission Time Slot t SLOT OW5 117 120 µs<br />

Figure 9 depicts Write 1 Sequence timing, Figure 10 depicts the Read Sequence timing, <strong>and</strong> Table 23 lists<br />

the timing parameters.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

26 Freescale Semiconductor<br />

OW6<br />

OW2<br />

OW3<br />

OW4<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


1-Wire bus<br />

(BATT_LINE)<br />

1-Wire bus<br />

(BATT_LINE)<br />

OW7<br />

Figure 9. Write 1 Sequence Timing Diagram<br />

OW7<br />

Figure 10. Read Sequence Timing Diagram<br />

4.3.5 ATA Electrical Specifications (ATA Bus, Bus Buffers)<br />

OW9<br />

Table 23. WR1/RD Timing Parameters<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

ID Parameter Symbol Min Typ Max Units<br />

OW7 Write 1 / Read Low Time t LOW1 1 5 15 µs<br />

OW8 Transmission Time Slot t SLOT 60 117 120 µs<br />

OW9 Release Time t RELEASE 15 — 45 µs<br />

This section discusses ATA parameters. For a detailed description, refer to the ATA specification.<br />

The user needs to use level shifters for 3.3 Volt or 5.0 Volt compatibility on the ATA interface.<br />

The use of bus buffers introduces delay on the bus <strong>and</strong> introduces skew between signal lines. These factors<br />

make it difficult to operate the bus at the highest speed (UDMA-5) when bus buffers are used. If fast<br />

UDMA mode operation is needed, this may not be compatible with bus buffers.<br />

Another area of attention is the slew rate limit imposed by the ATA specification on the ATA bus.<br />

According to this limit, any signal driven on the bus should have a slew rate between 0.4 <strong>and</strong> 1.2 V/ns with<br />

a 40 pF load. Not many vendors of bus buffers specify slew rate of the outgoing signals.<br />

When bus buffers are used, the ata_data bus buffer is special. This is a bidirectional bus buffer, so a<br />

direction control signal is needed. This direction control signal is ata_buffer_en. When its high, the bus<br />

should drive from host to device. When its low, the bus should drive from device to host. Steering of the<br />

signal is such that contention on the host <strong>and</strong> device tri-state busses is always avoided.<br />

Freescale Semiconductor 27<br />

OW8<br />

OW8<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.5.1 Timing Parameters<br />

In the timing equations, some timing parameters are used. These parameters depend on the implementation<br />

of the ATA interface on silicon, the bus buffer used, the cable delay <strong>and</strong> cable skew. Table 24 shows ATA<br />

timing parameters.<br />

Table 24. ATA Timing Parameters<br />

Name Description<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Value/<br />

Contributing Factor 1<br />

T Bus clock period (ipg_clk_ata) peripheral clock<br />

frequency<br />

ti_ds Set-up time ata_data to ata_iordy edge (UDMA-in only)<br />

1 Values provided where applicable.<br />

UDMA0<br />

UDMA1<br />

UDMA2, UDMA3<br />

UDMA4<br />

UDMA5<br />

ti_dh Hold time ata_iordy edge to ata_data (UDMA-in only)<br />

UDMA0, UDMA1, UDMA2, UDMA3, UDMA4<br />

UDMA5<br />

tco Propagation delay bus clock L-to-H to<br />

ata_cs0, ata_cs1, ata_da2, ata_da1, ata_da0, ata_dior, ata_diow, ata_dmack, ata_data,<br />

ata_buffer_en<br />

15 ns<br />

10 ns<br />

7 ns<br />

5 ns<br />

4 ns<br />

5.0 ns<br />

4.6 ns<br />

12.0 ns<br />

tsu Set-up time ata_data to bus clock L-to-H 8.5 ns<br />

tsui Set-up time ata_iordy to bus clock H-to-L 8.5 ns<br />

thi Hold time ata_iordy to bus clock H to L 2.5 ns<br />

tskew1 Max difference in propagation delay bus clock L-to-H to any of following signals<br />

ata_cs0, ata_cs1, ata_da2, ata_da1, ata_da0, ata_dior, ata_diow, ata_dmack, ata_data<br />

(write), ata_buffer_en<br />

tskew2 Max difference in buffer propagation delay for any of following signals<br />

ata_cs0, ata_cs1, ata_da2, ata_da1, ata_da0, ata_dior, ata_diow, ata_dmack, ata_data<br />

(write), ata_buffer_en<br />

tskew3 Max difference in buffer propagation delay for any of following signals ata_iordy, ata_data<br />

(read)<br />

28 Freescale Semiconductor<br />

7ns<br />

transceiver<br />

transceiver<br />

tbuf Max buffer propagation delay transceiver<br />

tcable1 Cable propagation delay for ata_data cable<br />

tcable2 Cable propagation delay for control signals ata_dior, ata_diow, ata_iordy, ata_dmack cable<br />

tskew4 Max difference in cable propagation delay between ata_iordy <strong>and</strong> ata_data (read) cable<br />

tskew5 Max difference in cable propagation delay between (ata_dior, ata_diow, ata_dmack) <strong>and</strong><br />

ata_cs0, ata_cs1, ata_da2, ata_da1, ata_da0, ata_data(write)<br />

tskew6 Max difference in cable propagation delay without accounting for ground bounce cable<br />

cable<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


4.3.5.2 PIO Mode Timing<br />

Figure 11 shows timing for PIO read, <strong>and</strong> Table 25 lists the timing parameters for PIO read.<br />

ATA<br />

Parameter<br />

Parameter<br />

from Figure 11<br />

Figure 11. PIO Read Timing Diagram<br />

Table 25. PIO Read Timing Parameters<br />

Value<br />

Figure 12 shows timing for PIO write, <strong>and</strong> Table 26 lists the timing parameters for PIO write.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Controlling<br />

Variable<br />

t1 t1 t1 (min) = time_1 * T – (tskew1 + tskew2 + tskew5) time_1<br />

t2 t2r t2 min) = time_2r * T – (tskew1 + tskew2 + tskew5) time_2r<br />

t9 t9 t9 (min) = time_9 * T – (tskew1 + tskew2 + tskew6) time_3<br />

t5 t5 t5 (min) = tco + tsu + tbuf + tbuf + tcable1 + tcable2 If not met, increase<br />

time_2<br />

t6 t6 0 —<br />

tA tA tA (min) = (1.5 + time_ax) * T – (tco + tsui + tcable2 + tcable2 + 2*tbuf) time_ax<br />

trd trd1 trd1 (max) = (–trd) + (tskew3 + tskew4)<br />

trd1 (min) = (time_pio_rdx – 0.5)*T – (tsu + thi)<br />

(time_pio_rdx – 0.5) * T > tsu + thi + tskew3 + tskew4<br />

time_pio_rdx<br />

t0 — t0 (min) = (time_1 + time_2 + time_9) * T time_1, time_2r, time_9<br />

Freescale Semiconductor 29<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

ATA<br />

Parameter<br />

Parameter<br />

from Figure 12<br />

Figure 12. Multiword DMA (MDMA) Timing<br />

Table 26. PIO Write Timing Parameters<br />

Figure 13 shows timing for MDMA read, Figure 14 shows timing for MDMA write, <strong>and</strong> Table 27 lists the<br />

timing parameters for MDMA read <strong>and</strong> write.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

30 Freescale Semiconductor<br />

Value<br />

Controlling<br />

Variable<br />

t1 t1 t1 (min) = time_1 * T – (tskew1 + tskew2 + tskew5) time_1<br />

t2 t2w t2 (min) = time_2w * T – (tskew1 + tskew2 + tskew5) time_2w<br />

t9 t9 t9 (min) = time_9 * T – (tskew1 + tskew2 + tskew6) time_9<br />

t3 — t3 (min) = (time_2w – time_on)* T – (tskew1 + tskew2 +tskew5) If not met, increase<br />

time_2w<br />

t4 t4 t4 (min) = time_4 * T – tskew1 time_4<br />

tA tA tA = (1.5 + time_ax) * T – (tco + tsui + tcable2 + tcable2 + 2*tbuf) time_ax<br />

t0 — t0(min) = (time_1 + time_2 + time_9) * T time_1, time_2r,<br />

time_9<br />

— — Avoid bus contention when switching buffer on by making ton long enough. —<br />

— — Avoid bus contention when switching buffer off by making toff long enough. —<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


ATA<br />

Parameter<br />

Parameter<br />

from<br />

Figure 13,<br />

Figure 14<br />

Figure 13. MDMA Read Timing Diagram<br />

Figure 14. MDMA Write Timing Diagram<br />

Table 27. MDMA Read <strong>and</strong> Write Timing Parameters<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Freescale Semiconductor 31<br />

Value<br />

Controlling<br />

Variable<br />

tm, ti tm tm (min) = ti (min) = time_m * T – (tskew1 + tskew2 + tskew5) time_m<br />

td td, td1 td1.(min) = td (min) = time_d * T – (tskew1 + tskew2 + tskew6) time_d<br />

tk tk tk.(min) = time_k * T – (tskew1 + tskew2 + tskew6) time_k<br />

t0 — t0 (min) = (time_d + time_k) * T time_d, time_k<br />

tg(read) tgr tgr (min-read) = tco + tsu + tbuf + tbuf + tcable1 + tcable2<br />

tgr.(min-drive) = td – te(drive)<br />

tf(read) tfr tfr (min-drive) = 0 —<br />

time_d<br />

tg(write) — tg (min-write) = time_d * T – (tskew1 + tskew2 + tskew5) time_d<br />

tf(write) — tf (min-write) = time_k * T – (tskew1 + tskew2 + tskew6) time_k<br />

tL — tL (max) = (time_d + time_k–2)*T – (tsu + tco + 2*tbuf + 2*tcable2) time_d, time_k<br />

tn, tj tkjn tn= tj= tkjn = (max(time_k,. time_jn) * T – (tskew1 + tskew2 + tskew6) time_jn<br />

— ton<br />

toff<br />

ton = time_on * T – tskew1<br />

toff = time_off * T – tskew1<br />

—<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.5.3 UDMA In Timing<br />

Figure 15 shows timing when the UDMA in transfer starts, Figure 16 shows timing when the UDMA in<br />

host terminates transfer, Figure 17 shows timing when the UDMA in device terminates transfer, <strong>and</strong><br />

Table 28 lists the timing parameters for UDMA in burst.<br />

Figure 15. UDMA In Transfer Starts Timing Diagram<br />

Figure 16. UDMA In Host Terminates Transfer Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

32 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


ATA<br />

Parameter<br />

Parameter<br />

from<br />

Figure 15,<br />

Figure 16,<br />

Figure 17<br />

Figure 17. UDMA In Device Terminates Transfer Timing Diagram<br />

Table 28. UDMA In Burst Timing Parameters<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Description Controlling Variable<br />

tack tack tack (min) = (time_ack * T) – (tskew1 + tskew2) time_ack<br />

tenv tenv tenv (min) = (time_env * T) – (tskew1 + tskew2)<br />

tenv (max) = (time_env * T) + (tskew1 + tskew2)<br />

time_env<br />

tds tds1 tds – (tskew3) – ti_ds > 0 tskew3, ti_ds, ti_dh<br />

should be low enough<br />

tdh tdh1 tdh – (tskew3) – ti_dh > 0<br />

tcyc tc1 (tcyc – tskew) > T T big enough<br />

trp trp trp (min) = time_rp * T – (tskew1 + tskew2 + tskew6) time_rp<br />

— tx1 1<br />

(time_rp * T) – (tco + tsu + 3T + 2 *tbuf + 2*tcable2) > trfs (drive) time_rp<br />

tmli tmli1 tmli1 (min) = (time_mlix + 0.4) * T time_mlix<br />

tzah tzah tzah (min) = (time_zah + 0.4) * T time_zah<br />

tdzfs tdzfs tdzfs = (time_dzfs * T) – (tskew1 + tskew2) time_dzfs<br />

tcvh tcvh tcvh = (time_cvh *T) – (tskew1 + tskew2) time_cvh<br />

— ton<br />

toff<br />

ton = time_on * T – tskew1<br />

toff = time_off * T – tskew1<br />

1 There is a special timing requirement in the ATA host that requires the internal DIOW to go only high 3 clocks after the last<br />

active edge on the DSTROBE signal. The equation given on this line tries to capture this constraint.<br />

2. Make ton <strong>and</strong> toff big enough to avoid bus contention<br />

Freescale Semiconductor 33<br />

—<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.5.4 UDMA Out Timing<br />

Figure 18 shows timing when the UDMA out transfer starts, Figure 19 shows timing when the UDMA out<br />

host terminates transfer, Figure 20 shows timing when the UDMA out device terminates transfer, <strong>and</strong><br />

Table 29 lists the timing parameters for UDMA out burst.<br />

Figure 18. UDMA Out Transfer Starts Timing Diagram<br />

Figure 19. UDMA Out Host Terminates Transfer Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

34 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


ATA<br />

Parameter<br />

Figure 20. UDMA Out Device Terminates Transfer Timing Diagram<br />

Parameter<br />

from<br />

Figure 18,<br />

Figure 19,<br />

Figure 20<br />

Table 29. UDMA Out Burst Timing Parameters<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Freescale Semiconductor 35<br />

Value<br />

Controlling<br />

Variable<br />

tack tack tack (min) = (time_ack * T) – (tskew1 + tskew2) time_ack<br />

tenv tenv tenv (min) = (time_env * T) – (tskew1 + tskew2)<br />

tenv (max) = (time_env * T) + (tskew1 + tskew2)<br />

time_env<br />

tdvs tdvs tdvs = (time_dvs * T) – (tskew1 + tskew2) time_dvs<br />

tdvh tdvh tdvs = (time_dvh * T) – (tskew1 + tskew2) time_dvh<br />

tcyc tcyc tcyc = time_cyc * T – (tskew1 + tskew2) time_cyc<br />

t2cyc — t2cyc = time_cyc * 2 * T time_cyc<br />

trfs1 trfs trfs = 1.6 * T + tsui + tco + tbuf + tbuf —<br />

— tdzfs tdzfs = time_dzfs * T – (tskew1) time_dzfs<br />

tss tss tss = time_ss * T – (tskew1 + tskew2) time_ss<br />

tmli tdzfs_mli tdzfs_mli =max (time_dzfs, time_mli) * T – (tskew1 + tskew2) —<br />

tli tli1 tli1 > 0 —<br />

tli tli2 tli2 > 0 —<br />

tli tli3 tli3 > 0 —<br />

tcvh tcvh tcvh = (time_cvh *T) – (tskew1 + tskew2) time_cvh<br />

— ton<br />

toff<br />

ton = time_on * T – tskew1<br />

toff = time_off * T – tskew1<br />

—<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.6 AUDMUX Electrical Specifications<br />

The AUDMUX provides a programmable interconnect logic for voice, audio <strong>and</strong> data routing between<br />

internal serial interfaces (SSI) <strong>and</strong> external serial interfaces (audio <strong>and</strong> voice codecs). The AC timing of<br />

AUDMUX external pins is hence governed by the SSI module. Please refer to their respective electrical<br />

specifications.<br />

4.3.7 CSPI Electrical Specifications<br />

This section describes the electrical information of the CSPI.<br />

4.3.7.1 CSPI Timing<br />

Figure 21 <strong>and</strong> Figure 22 depict the master mode <strong>and</strong> slave mode timings of CSPI, <strong>and</strong> Table 30 lists the<br />

timing parameters.<br />

SPI_RDY<br />

SSx<br />

SCLK<br />

MOSI<br />

MISO<br />

SSx<br />

SCLK<br />

MISO<br />

MOSI<br />

CS11<br />

CS1<br />

CS7 CS8<br />

CS9 CS10<br />

CS1<br />

CS7 CS8<br />

CS9 CS10<br />

CS3 CS3<br />

Figure 21. CSPI Master Mode Timing Diagram<br />

CS3 CS3<br />

Figure 22. CSPI Slave Mode Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

36 Freescale Semiconductor<br />

CS2<br />

CS2<br />

CS2<br />

CS2<br />

CS6<br />

CS6<br />

CS4<br />

CS4<br />

CS5<br />

CS5<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


4.3.8 DPLL Electrical Specifications<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

The three PLL’s of the MCI<strong>MX31</strong> (MCU, USB, <strong>and</strong> Serial PLL) are all based on same DPLL design. The<br />

characteristics provided herein apply to all of them, except where noted explicitly. The PLL characteristics<br />

are provided based on measurements done for both sources—external clock source (CKIH), <strong>and</strong> FPM<br />

(Frequency Pre-Multiplier) source.<br />

4.3.8.1 Electrical Specifications<br />

Table 31 lists the DPLL specification.<br />

Table 30. CSPI Interface Timing Parameters<br />

ID Parameter Symbol Min Max Units<br />

CS1 SCLK Cycle Time t clk 60 — ns<br />

CS2 SCLK High or Low Time t SW 30 — ns<br />

CS3 SCLK Rise or Fall t RISE/FALL — 7.6 ns<br />

CS4 SSx pulse width t CSLH 25 — ns<br />

CS5 SSx Lead Time (CS setup time) t SCS 25 — ns<br />

CS6 SSx Lag Time (CS hold time) t HCS 25 — ns<br />

CS7 <strong>Data</strong> Out Setup Time t Smosi 5 — ns<br />

CS8 <strong>Data</strong> Out Hold Time t Hmosi 5 — ns<br />

CS9 <strong>Data</strong> In Setup Time t Smiso 6 — ns<br />

CS10 <strong>Data</strong> In Hold Time t Hmiso 5 — ns<br />

CS11 SPI_RDY Setup Time 1<br />

1 SPI_RDY is sampled internally by ipg_clk <strong>and</strong> is asynchronous to all other CSPI signals.<br />

Table 31. DPLL Specifications<br />

t SRDY — — ns<br />

Parameter Min Typ Max Unit Comments<br />

CKIH frequency 15 26 1<br />

CKIL frequency<br />

(Frequency Pre-multiplier (FPM) enable mode)<br />

75 2 MHz —<br />

— 32; 32.768, 38.4 — kHz FPM lock time ≈ 480 µs.<br />

Predivision factor (PD bits) 1 — 16 — —<br />

PLL reference frequency range after Predivider 15 — 35 MHz 15 ≤ CKIH frequency/PD ≤ 35 MHz<br />

15 ≤ FPM output/PD ≤ 35 MHz<br />

PLL output frequency range:<br />

MPLL <strong>and</strong> SPLL<br />

UPLL<br />

52<br />

190<br />

Freescale Semiconductor 37<br />

—<br />

532<br />

240<br />

MHz —<br />

Maximum allowed reference clock phase noise. — — ± 100 ps —<br />

Frequency lock time<br />

(FOL mode or non-integer MF)<br />

— — 398 — Cycles of divided reference clock.<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.9 EMI Electrical Specifications<br />

Table 31. DPLL Specifications (continued)<br />

Parameter Min Typ Max Unit Comments<br />

Phase lock time — — 100 µs In addition to the frequency<br />

Maximum allowed PLL supply voltage ripple — — 25 mV F modulation < 50 kHz<br />

Maximum allowed PLL supply voltage ripple — — 20 mV 50 kHz < F modulation < 300 kHz<br />

Maximum allowed PLL supply voltage ripple — — 25 mV F modulation > 300 kHz<br />

PLL output clock phase jitter — — 5.2 ns Measured on CLKO pin<br />

PLL output clock period jitter — — 420 ps Measured on CLKO pin<br />

1 The user or board designer must take into account that the use of a frequency other than 26 MHz would require adjustment to<br />

the DPTC–DVFS table, which is incorporated into operating system code.<br />

2 The PLL reference frequency must be ≤ 35 MHz. Therefore, for frequencies between 35 MHz <strong>and</strong> 70 MHz, program the<br />

predivider to divide by 2 or more. If the CKIH frequency is above 70 MHz, program the predivider to 3 or more. For PD bit<br />

description, see the reference manual.<br />

This section provides electrical parametrics <strong>and</strong> timings for EMI module.<br />

4.3.9.1 NAND Flash Controller Interface (NFC)<br />

The NFC supports normal timing mode, using two flash clock cycles for one access of RE <strong>and</strong> WE. AC<br />

timings are provided as multiplications of the clock cycle <strong>and</strong> fixed delay. Figure 23, Figure 24, Figure 25,<br />

<strong>and</strong> Figure 26 depict the relative timing requirements among different signals of the NFC at module level,<br />

for normal mode, <strong>and</strong> Table 32 lists the timing parameters.<br />

NFCLE<br />

NFCE<br />

NFWE<br />

NFALE<br />

NF1<br />

NF5<br />

NF8<br />

NFIO[7:0] Comm<strong>and</strong><br />

Figure 23. Comm<strong>and</strong> Latch Cycle Timing DIagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

38 Freescale Semiconductor<br />

NF9<br />

NF2<br />

NF3 NF4<br />

NF6 NF7<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


NFCLE<br />

NFCE<br />

NFWE<br />

NFALE<br />

NFIO[7:0] Address<br />

NFCLE<br />

NFCE<br />

NFWE<br />

NFALE<br />

NF6<br />

NF1<br />

NF3 NF4<br />

NF5<br />

NF8<br />

Figure 24. Address Latch Cycle Timing DIagram<br />

NF6<br />

NF3<br />

NF1<br />

NF5<br />

NF8<br />

NF10<br />

NF10<br />

NFIO[15:0] <strong>Data</strong> to NF<br />

Figure 25. Write <strong>Data</strong> Latch Cycle Timing DIagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Freescale Semiconductor 39<br />

NF7<br />

NF9<br />

NF9<br />

NF11<br />

NF11<br />

NF7<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

NFCLE<br />

NFCE<br />

NFRE<br />

NFRB<br />

NF12<br />

Figure 26. Read <strong>Data</strong> Latch Cycle Timing DIagram<br />

ID Parameter Symbol<br />

NF13<br />

NF14<br />

NF16<br />

NFIO[15:0] <strong>Data</strong> from NF<br />

Table 32. NFC Timing Parameters 1<br />

Timing<br />

T = NFC Clock Cycle 2<br />

1<br />

The flash clock maximum frequency is 50 MHz.<br />

2 Subject to DPLL jitter specification on Table 31, "DPLL Specifications," on page 37.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Example Timing for<br />

NFC Clock ≈ 33 MHz<br />

T = 30 ns<br />

Min Max Min Max<br />

NF1 NFCLE Setup Time tCLS T–1.0 ns — 29 — ns<br />

NF2 NFCLE Hold Time tCLH T–2.0 ns — 28 — ns<br />

NF3 NFCE Setup Time tCS T–1.0 ns — 29 — ns<br />

NF4 NFCE Hold Time tCH T–2.0 ns — 28 — ns<br />

NF5 NF_WP Pulse Width tWP T–1.5 ns 28.5 ns<br />

NF6 NFALE Setup Time tALS T — 30 — ns<br />

NF7 NFALE Hold Time tALH T–3.0 ns — 27 — ns<br />

NF8 <strong>Data</strong> Setup Time tDS T — 30 — ns<br />

NF9 <strong>Data</strong> Hold Time tDH T–5.0 ns — 25 — ns<br />

NF10 Write Cycle Time tWC 2T 60 ns<br />

NF11 NFWE Hold Time tWH T–2.5 ns 27.5 ns<br />

NF12 Ready to NFRE Low tRR 6T — 180 — ns<br />

NF13 NFRE Pulse Width tRP 1.5T — 45 — ns<br />

NF14 READ Cycle Time tRC 2T — 60 — ns<br />

NF15 NFRE High Hold Time tREH 0.5T–2.5 ns 12.5 — ns<br />

NF16 <strong>Data</strong> Setup on READ tDSR N/A 10 — ns<br />

NF17 <strong>Data</strong> Hold on READ tDHR N/A 0 — ns<br />

40 Freescale Semiconductor<br />

NF17<br />

NF15<br />

Unit<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


NOTE<br />

High is defined as 80% of signal value <strong>and</strong> low is defined as 20% of signal<br />

value.<br />

Timing for HCLK is 133 MHz <strong>and</strong> internal NFC clock (flash clock) is<br />

approximately 33 MHz (30 ns). All timings are listed according to this NFC<br />

clock frequency (multiples of NFC clock phases), except NF16 <strong>and</strong> NF17,<br />

which are not NFC clock related.<br />

4.3.9.2 Wireless External Interface Module (WEIM)<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

All WEIM output control signals may be asserted <strong>and</strong> deasserted by internal clock related to BCLK rising<br />

edge or falling edge according to corresponding assertion/negation control fields. Address always begins<br />

related to BCLK falling edge but may be ended both on rising <strong>and</strong> falling edge in muxed mode according<br />

to control register configuration. Output data begins related to BCLK rising edge except in muxed mode<br />

where both rising <strong>and</strong> falling edge may be used according to control register configuration. Input data,<br />

ECB <strong>and</strong> DTACK all captured according to BCLK rising edge time. Figure 27 depicts the timing of the<br />

WEIM module, <strong>and</strong> Table 33 lists the timing parameters.<br />

Freescale Semiconductor 41<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

BCLK<br />

Address<br />

CS[x]<br />

RW<br />

OE<br />

EB[x]<br />

LBA<br />

Output <strong>Data</strong><br />

WE1 WE2<br />

WE3 WE4<br />

WE5 WE6<br />

WE7 WE8<br />

WE9 WE10<br />

WE11 WE12<br />

WE13 WE14<br />

BCLK<br />

Input <strong>Data</strong><br />

ECB<br />

DTACK<br />

WEIM Outputs Timing<br />

WE21 WE22<br />

WE15<br />

WE17<br />

WE19<br />

Figure 27. WEIM Bus Timing Diagram<br />

Table 33. WEIM Bus Timing Parameters<br />

ID Parameter Min Max Unit<br />

WE1 Clock fall to Address Valid –0.5 2.5 ns<br />

WE2 Clock rise/fall to Address Invalid –0.5 5 ns<br />

WE3 Clock rise/fall to CS[x] Valid –3 3 ns<br />

WE4 Clock rise/fall to CS[x] Invalid –3 3 ns<br />

WE5 Clock rise/fall to RW Valid –3 3 ns<br />

WE6 Clock rise/fall to RW Invalid –3 3 ns<br />

WE7 Clock rise/fall to OE Valid –3 3 ns<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

42 Freescale Semiconductor<br />

...<br />

WE16<br />

WE18<br />

WE20<br />

WE23<br />

WEIM Inputs Timing<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

WE8 Clock rise/fall to OE Invalid –3 3 ns<br />

WE9 Clock rise/fall to EB[x] Valid –3 3 ns<br />

WE10 Clock rise/fall to EB[x] Invalid –3 3 ns<br />

WE11 Clock rise/fall to LBA Valid –3 3 ns<br />

WE12 Clock rise/fall to LBA Invalid –3 3 ns<br />

WE13 Clock rise/fall to Output <strong>Data</strong> Valid –2.5 4 ns<br />

WE14 Clock rise to Output <strong>Data</strong> Invalid –2.5 4 ns<br />

WE15 Input <strong>Data</strong> Valid to Clock rise, FCE=0<br />

FCE=1<br />

WE16 Clock rise to Input <strong>Data</strong> Invalid, FCE=0<br />

FCE=1<br />

WE17 ECB setup time, FCE=0<br />

FCE=1<br />

WE18 ECB hold time, FCE=0<br />

FCE=1<br />

WE19 DTACK setup time 1<br />

WE20 DTACK hold time 1<br />

2, 3<br />

WE21 BCLK High Level Width<br />

2, 3<br />

WE22 BCLK Low Level Width<br />

WE23 BCLK Cycle time 2<br />

Table 33. WEIM Bus Timing Parameters (continued)<br />

ID Parameter Min Max Unit<br />

1 Applies to rising edge timing<br />

2 BCLK parameters are being measured from the 50% VDD.<br />

3 The actual cycle time is derived from the AHB bus clock frequency.<br />

NOTE<br />

High is defined as 80% of signal value <strong>and</strong> low is defined as 20% of signal<br />

value.<br />

Test conditions: load capacitance, 25 pF. Recommended drive strength for all<br />

controls, address, <strong>and</strong> BCLK is Max drive.<br />

Figure 28, Figure 29, Figure 30, Figure 31, Figure 32, <strong>and</strong> Figure 33 depict some examples of<br />

basic WEIM accesses to external memory devices with the timing parameters mentioned in<br />

Table 33 for specific control parameter settings.<br />

Freescale Semiconductor 43<br />

8<br />

2.5<br />

–2<br />

–2<br />

6.5<br />

3.5<br />

–2<br />

2<br />

—<br />

—<br />

—<br />

—<br />

ns<br />

ns<br />

ns<br />

ns<br />

0 — ns<br />

4.5 — ns<br />

— T/2–3 ns<br />

— T/2–3 ns<br />

15 — ns<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

BCLK<br />

ADDR<br />

CS[x]<br />

RW<br />

LBA<br />

OE<br />

EB[y]<br />

DATA<br />

BCLK<br />

ADDR<br />

CS[x]<br />

RW<br />

LBA<br />

OE<br />

EB[y]<br />

DATA<br />

Last Valid Address<br />

WE1<br />

Figure 28. Asynchronous Memory Timing Diagram for Read Access—WSC=1<br />

Figure 29. Asynchronous Memory Timing Diagram for Write Access—<br />

WSC=1, EBWA=1, EBWN=1, LBN=1<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

44 Freescale Semiconductor<br />

V1<br />

WE2<br />

WE3 WE4<br />

WE7 WE8<br />

WE9<br />

V1<br />

Next Address<br />

WE11 WE12<br />

Last Valid Address V1<br />

WE15<br />

WE1 WE2<br />

WE3 WE4<br />

WE5 WE6<br />

WE11 WE12<br />

WE9 WE10<br />

WE13<br />

V1<br />

WE10<br />

WE16<br />

Next Address<br />

WE14<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


BCLK<br />

ADDR<br />

CS[x]<br />

RW<br />

LBA<br />

OE<br />

EB[y]<br />

ECB<br />

WE1 WE2<br />

Last Valid Addr Address V1 Address V2<br />

WE7<br />

WE9<br />

WE16<br />

WE16<br />

DATA<br />

V1 V1+2<br />

Halfword Halfword<br />

V2<br />

Halfword<br />

V2+2<br />

Halfword<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

WE15<br />

WE15<br />

Figure 30. Synchronous Memory Timing Diagram for Two Non-Sequential Read Accesses—<br />

WSC=2, SYNC=1, DOL=0<br />

BCLK<br />

ADDR<br />

CS[x]<br />

RW<br />

LBA<br />

OE<br />

EB[y]<br />

ECB<br />

DATA<br />

Last Valid Addr<br />

WE3<br />

WE11 WE12<br />

WE18<br />

WE17 WE17<br />

Figure 31. Synchronous Memory TIming Diagram for Burst Write Access—<br />

BCS=1, WSC=4, SYNC=1, DOL=0, PSR=1<br />

Freescale Semiconductor 45<br />

WE18<br />

WE1 WE2<br />

Address V1<br />

WE3 WE4<br />

WE5 WE6<br />

WE11<br />

WE9<br />

WE12<br />

WE18<br />

WE17<br />

WE14<br />

WE13 WE13<br />

WE14<br />

V1 V1+4 V1+8 V1+12<br />

WE10<br />

WE4<br />

WE8<br />

WE10<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

BCLK<br />

WE1 WE2<br />

ADDR/<br />

M_DATA Last Valid Addr<br />

Address V1 Write <strong>Data</strong><br />

CS[x]<br />

LBA<br />

RW<br />

OE<br />

EB[y]<br />

Figure 32. Muxed A/D Mode Timing Diagram for Asynchronous Write Access—<br />

WSC=7, LBA=1, LBN=1, LAH=1<br />

BCLK<br />

Figure 33. Muxed A/D Mode Timing Diagram for Asynchronous Read Access—<br />

WSC=7, LBA=1, LBN=1, LAH=1, OEA=7<br />

4.3.9.3 ESDCTL Electrical Specifications<br />

WE3 WE13<br />

WE4<br />

WE5<br />

ADDR/<br />

M_DATA<br />

WE1<br />

Last Valid Addr<br />

CS[x]<br />

WE3<br />

RW<br />

LBA<br />

OE<br />

EB[y]<br />

WE11 WE12<br />

Figure 34, Figure 35, Figure 36, Figure 37, Figure 38, <strong>and</strong> Figure 39 depict the timings pertaining to the<br />

ESDCTL module, which interfaces Mobile DDR or SDR SDRAM. Table 34, Table 35, Table 36, Table 37,<br />

Table 38, <strong>and</strong> Table 39 list the timing parameters.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

46 Freescale Semiconductor<br />

Write<br />

WE14<br />

WE6<br />

WE9 WE10<br />

WE2<br />

Address V1 Read <strong>Data</strong><br />

WE11<br />

WE12<br />

WE16<br />

WE15<br />

WE4<br />

WE7 WE8<br />

WE9 WE10<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


SDCLK<br />

SDCLK<br />

CS<br />

RAS<br />

CAS<br />

WE<br />

ADDR<br />

DQ<br />

DQM<br />

SD4<br />

SD4<br />

SD6<br />

ROW/BA<br />

SD5<br />

SD5<br />

SD7<br />

SD10<br />

SD4<br />

SD4<br />

COL/BA<br />

SD4<br />

SD5<br />

SD5<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Note: CKE is high during the read/write cycle.<br />

SD5<br />

Figure 34. SDRAM Read Cycle Timing Diagram<br />

Table 34. DDR/SDR SDRAM Read Cycle Timing Parameters<br />

ID Parameter Symbol Min Max Unit<br />

SD1 SDRAM clock high-level width tCH 3.4 4.1 ns<br />

SD2 SDRAM clock low-level width tCL 3.4 4.1 ns<br />

SD3 SDRAM clock cycle time tCK 7.5 — ns<br />

SD4 CS, RAS, CAS, WE, DQM, CKE setup time tCMS 2.0 — ns<br />

SD5 CS, RAS, CAS, WE, DQM, CKE hold time tCMH 1.8 — ns<br />

SD6 Address setup time tAS 2.0 — ns<br />

SD7 Address hold time tAH 1.8 — ns<br />

SD8 SDRAM access time tAC — 6.47 ns<br />

Freescale Semiconductor 47<br />

SD8<br />

SD1<br />

<strong>Data</strong><br />

SD2<br />

SD3<br />

SD9<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

Table 34. DDR/SDR SDRAM Read Cycle Timing Parameters (continued)<br />

ID Parameter Symbol Min Max Unit<br />

SD9 <strong>Data</strong> out hold time 1<br />

NOTE<br />

SDR SDRAM CLK parameters are being measured from the 50%<br />

point—that is, high is defined as 50% of signal value <strong>and</strong> low is defined as<br />

50% of signal value. SD1 + SD2 does not exceed 7.5 ns for 133 MHz.<br />

The timing parameters are similar to the ones used in SDRAM data<br />

sheets—that is, Table 34 indicates SDRAM requirements. All output signals<br />

are driven by the ESDCTL at the negative edge of SDCLK <strong>and</strong> the<br />

parameters are measured at maximum memory frequency.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

tOH 1.8 — ns<br />

SD10 Active to read/write comm<strong>and</strong> period tRC 10 — clock<br />

1<br />

Timing parameters are relevant only to SDR SDRAM. For the specific DDR SDRAM data related timing parameters, see<br />

Table 38 <strong>and</strong> Table 39.<br />

48 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


SDCLK<br />

SDCLK<br />

CS<br />

RAS<br />

CAS<br />

WE<br />

ADDR<br />

DQ<br />

DQM<br />

SD4<br />

SD6<br />

SD5<br />

SD7<br />

SD11<br />

BA ROW / BA COL/BA<br />

Figure 35. SDR SDRAM Write Cycle Timing Diagram<br />

Table 35. SDR SDRAM Write Timing Parameters<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

ID Parameter Symbol Min Max Unit<br />

SD1 SDRAM clock high-level width tCH 3.4 4.1 ns<br />

SD2 SDRAM clock low-level width tCL 3.4 4.1 ns<br />

SD3 SDRAM clock cycle time tCK 7.5 — ns<br />

SD4 CS, RAS, CAS, WE, DQM, CKE setup time tCMS 2.0 — ns<br />

SD5 CS, RAS, CAS, WE, DQM, CKE hold time tCMH 1.8 — ns<br />

SD6 Address setup time tAS 2.0 — ns<br />

SD7 Address hold time tAH 1.8 — ns<br />

SD11 Precharge cycle period1 tRP 1 4 clock<br />

SD12 Active to read/write comm<strong>and</strong> delay 1 tRCD 1 8 clock<br />

Freescale Semiconductor 49<br />

SD1<br />

SD3<br />

SD12<br />

SD4<br />

SD2<br />

SD4<br />

SD4<br />

DATA<br />

SD5<br />

SD5<br />

SD5<br />

SD13 SD14<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

Table 35. SDR SDRAM Write Timing Parameters (continued)<br />

ID Parameter Symbol Min Max Unit<br />

SD13 <strong>Data</strong> setup time tDS 2.0 — ns<br />

SD14 <strong>Data</strong> hold time tDH 1.3 — ns<br />

1 SD11 <strong>and</strong> SD12 are determined by SDRAM controller register settings.<br />

SDCLK<br />

SDCLK<br />

CS<br />

RAS<br />

CAS<br />

WE<br />

SD6<br />

NOTE<br />

SDR SDRAM CLK parameters are being measured from the 50%<br />

point—that is, high is defined as 50% of signal value <strong>and</strong> low is defined as<br />

50% of signal value.<br />

The timing parameters are similar to the ones used in SDRAM data<br />

sheets—that is, Table 35 indicates SDRAM requirements. All output signals<br />

are driven by the ESDCTL at the negative edge of SDCLK <strong>and</strong> the<br />

parameters are measured at maximum memory frequency.<br />

SD7<br />

SD11<br />

SD10 SD10<br />

ADDR BA ROW/BA<br />

Figure 36. SDRAM Refresh Timing Diagram<br />

Table 36. SDRAM Refresh Timing Parameters<br />

ID Parameter Symbol Min Max Unit<br />

SD1 SDRAM clock high-level width tCH 3.4 4.1 ns<br />

SD2 SDRAM clock low-level width tCL 3.4 4.1 ns<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

50 Freescale Semiconductor<br />

SD1<br />

SD3<br />

SD2<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 36. SDRAM Refresh Timing Parameters (continued)<br />

NOTE<br />

SDR SDRAM CLK parameters are being measured from the 50%<br />

point—that is, high is defined as 50% of signal value <strong>and</strong> low is defined as<br />

50% of signal value.<br />

The timing parameters are similar to the ones used in SDRAM data<br />

sheets—that is, Table 36 indicates SDRAM requirements. All output signals<br />

are driven by the ESDCTL at the negative edge of SDCLK <strong>and</strong> the<br />

parameters are measured at maximum memory frequency.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

ID Parameter Symbol Min Max Unit<br />

SD3 SDRAM clock cycle time tCK 7.5 — ns<br />

SD6 Address setup time tAS 1.8 — ns<br />

SD7 Address hold time tAH 1.8 — ns<br />

SD10 Precharge cycle period 1<br />

tRP 1 4 clock<br />

SD11 Auto precharge comm<strong>and</strong> period 1<br />

tRC 2 20 clock<br />

1 SD10 <strong>and</strong> SD11 are determined by SDRAM controller register settings.<br />

Freescale Semiconductor 51<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

SDCLK<br />

CS<br />

RAS<br />

CAS<br />

WE<br />

ADDR BA<br />

CKE<br />

Don’t care<br />

SD16 SD16<br />

Figure 37. SDRAM Self-Refresh Cycle Timing Diagram<br />

NOTE<br />

The clock will continue to run unless both CKEs are low. Then the clock will<br />

be stopped in low state.<br />

Table 37. SDRAM Self-Refresh Cycle Timing Parameters<br />

ID Parameter Symbol Min Max Unit<br />

SD16 CKE output delay time tCKS 1.8 — ns<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

52 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


SDCLK<br />

SDCLK<br />

DQS (output)<br />

DQ (output)<br />

DQM (output)<br />

SD17<br />

SD17<br />

Figure 38. Mobile DDR SDRAM Write Cycle Timing Diagram<br />

Table 38. Mobile DDR SDRAM Write Cycle Timing Parameters 1<br />

1 Test condition: Measured using delay line 5 programmed as follows: ESDCDLY5[15:0] = 0x0703.<br />

NOTE<br />

SDRAM CLK <strong>and</strong> DQS related parameters are being measured from the<br />

50% point—that is, high is defined as 50% of signal value <strong>and</strong> low is defined<br />

as 50% of signal value.<br />

The timing parameters are similar to the ones used in SDRAM data<br />

sheets—that is, Table 38 indicates SDRAM requirements. All output signals<br />

are driven by the ESDCTL at the negative edge of SDCLK <strong>and</strong> the<br />

parameters are measured at maximum memory frequency.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

SD18 SD17<br />

SD18<br />

<strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong><br />

DM DM DM DM DM DM DM DM<br />

SD18<br />

ID Parameter Symbol Min Max Unit<br />

SD17 DQ <strong>and</strong> DQM setup time to DQS tDS 0.95 — ns<br />

SD18 DQ <strong>and</strong> DQM hold time to DQS tDH 0.95 — ns<br />

SD19 Write cycle DQS falling edge to SDCLK output delay time. tDSS 1.8 — ns<br />

SD20 Write cycle DQS falling edge to SDCLK output hold time. tDSH 1.8 — ns<br />

Freescale Semiconductor 53<br />

SD17<br />

SD18<br />

SD19 SD20<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

SDCLK<br />

SDCLK<br />

SD23<br />

DQS (input)<br />

SD22<br />

DQ (input)<br />

SD21<br />

<strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong> <strong>Data</strong><br />

Figure 39. Mobile DDR SDRAM DQ versus DQS <strong>and</strong> SDCLK Read Cycle Timing Diagram<br />

Table 39. Mobile DDR SDRAM Read Cycle Timing Parameters<br />

ID Parameter Symbol Min Max Unit<br />

SD21 DQS – DQ Skew (defines the <strong>Data</strong> valid window in read cycles related to DQS). tDQSQ — 0.85 ns<br />

SD22 DQS DQ HOLD time from DQS tQH 2.3 — ns<br />

SD23 DQS output access time from SDCLK posedge tDQSCK — 6.7 ns<br />

NOTE<br />

SDRAM CLK <strong>and</strong> DQS related parameters are being measured from the<br />

50% point—that is, high is defined as 50% of signal value <strong>and</strong> low is defined<br />

as 50% of signal value.<br />

The timing parameters are similar to the ones used in SDRAM data<br />

sheets—that is, Table 39 indicates SDRAM requirements. All output signals<br />

are driven by the ESDCTL at the negative edge of SDCLK <strong>and</strong> the<br />

parameters are measured at maximum memory frequency.<br />

4.3.10 ETM Electrical Specifications<br />

ETM is an ARM protocol. The timing specifications in this section are given as a guide for a TPA that<br />

supports TRACECLK frequencies up to 133 MHz.<br />

Figure 40 depicts the TRACECLK timings of ETM, <strong>and</strong> Table 40 lists the timing parameters.<br />

Figure 40. ETM TRACECLK Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

54 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Figure 41 depicts the setup <strong>and</strong> hold requirements of the trace data pins with respect to TRACECLK, <strong>and</strong><br />

Table 41 lists the timing parameters.<br />

4.3.10.1 Half-Rate Clocking Mode<br />

Figure 41. Trace <strong>Data</strong> Timing Diagram<br />

When half-rate clocking is used, the trace data signals are sampled by the TPA on both the rising <strong>and</strong> falling<br />

edges of TRACECLK, where TRACECLK is half the frequency of the clock shown in Figure 41.<br />

4.3.11 FIR Electrical Specifications<br />

Table 40. ETM TRACECLK Timing Parameters<br />

ID Parameter Min Max Unit<br />

T cyc Clock period Frequency dependent — ns<br />

T wl Low pulse width 2 — ns<br />

T wh High pulse width 2 — ns<br />

T r Clock <strong>and</strong> data rise time — 3 ns<br />

T f Clock <strong>and</strong> data fall time — 3 ns<br />

Table 41. ETM Trace <strong>Data</strong> Timing Parameters<br />

ID Parameter Min Max Unit<br />

T s <strong>Data</strong> setup 2 — ns<br />

T h <strong>Data</strong> hold 1 — ns<br />

FIR implements asynchronous infrared protocols (FIR, MIR) that are defined by IrDA ® (Infrared <strong>Data</strong><br />

Association). Refer to http://www.IrDA.org for details on FIR <strong>and</strong> MIR protocols.<br />

4.3.12 Fusebox Electrical Specifications<br />

Table 42. Fusebox Timing Characteristics<br />

Ref. Num Description Symbol Minimum Typical Maximum Units<br />

1 Program time for eFuse 1<br />

t program 125 — — µs<br />

1 The program length is defined by the value defined in the epm_pgm_length[2:0] bits of the IIM module. The value to program<br />

is based on a 32 kHz clock source (4 * 1/32 kHz = 125 µs).<br />

Freescale Semiconductor 55<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.13 I 2 C Electrical Specifications<br />

This section describes the electrical information of the I 2 C Module.<br />

4.3.13.1 I 2 C Module Timing<br />

Figure 42 depicts the timing of I 2 C module. Table 43 lists the I 2 C module timing parameters where the I/O<br />

supply is 2.7 V. 1<br />

I2DAT<br />

I2CLK<br />

ID Parameter<br />

IC10 IC11 IC9<br />

IC2 IC8 IC4 IC7 IC3<br />

START<br />

IC10<br />

IC6<br />

IC1<br />

Figure 42. I 2 C Bus Timing Diagram<br />

Table 43. I 2 C Module Timing Parameters—I 2 C Pin I/O Supply=2.7 V<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

St<strong>and</strong>ard Mode Fast Mode<br />

Min Max Min Max<br />

IC1 I2CLK cycle time 10 — 2.5 — μs<br />

IC2 Hold time (repeated) START condition 4.0 — 0.6 — μs<br />

IC3 Set-up time for STOP condition 4.0 — 0.6 — μs<br />

IC4 <strong>Data</strong> hold time 0 1<br />

IC5<br />

IC11 START STOP START<br />

IC5 HIGH Period of I2CLK Clock 4.0 — 0.6 — μs<br />

IC6 LOW Period of the I2CLK Clock 4.7 — 1.3 — μs<br />

IC7 Set-up time for a repeated START condition 4.7 — 0.6 — μs<br />

IC8 <strong>Data</strong> set-up time 250 — 1003 — ns<br />

IC9 Bus free time between a STOP <strong>and</strong> START condition 4.7 — 1.3 — μs<br />

IC10 Rise time of both I2DAT <strong>and</strong> I2CLK signals — 1000 20+0.1C 4<br />

b 300 ns<br />

IC11 Fall time of both I2DAT <strong>and</strong> I2CLK signals — 300 20+0.1C 4<br />

b 300 ns<br />

IC12 Capacitive load for each bus line (Cb ) — 400 — 400 pF<br />

1<br />

A device must internally provide a hold time of at least 300 ns for I2DAT signal in order to bridge the undefined region of the<br />

falling edge of I2CLK.<br />

2<br />

The maximum hold time has to be met only if the device does not stretch the LOW period (ID IC6) of the I2CLK signal.<br />

3 2 2<br />

A Fast-mode I C-bus device can be used in a st<strong>and</strong>ard-mode I C-bus system, but the requirement of set-up time (ID IC7) of<br />

250 ns must then be met. This will automatically be the case if the device does not stretch the LOW period of the I2CLK signal.<br />

If such a device does stretch the LOW period of the I2CLK signal, it must output the next data bit to the I2DAT line max_rise_time<br />

(ID No IC10) + data_setup_time (ID No IC8) = 1000 + 250 = 1250 ns (according to the St<strong>and</strong>ard-mode I 2C-bus specification)<br />

before the I2CLK line is released.<br />

4<br />

Cb = total capacitance of one bus line in pF.<br />

56 Freescale Semiconductor<br />

3.45 2<br />

0 1<br />

0.9 2<br />

Unit<br />

μs<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


4.3.14 IPU—Sensor Interfaces<br />

4.3.14.1 Supported Camera Sensors<br />

Table 44 lists the known supported camera sensors at the time of publication.<br />

4.3.14.2 Functional Description<br />

There are three timing modes supported by the IPU.<br />

4.3.14.2.1 Pseudo BT.656 Video Mode<br />

Table 44. Supported Camera Sensors 1<br />

Vendor Model<br />

Conexant CX11646, CX20490 2 , CX20450 2<br />

Agilant HDCP–2010, ADCS–1021 2 , ADCS–1021 2<br />

Toshiba TC90A70<br />

ICMedia ICM202A, ICM102 2<br />

iMagic IM8801<br />

Transchip TC5600, TC5600J, TC5640, TC5700, TC6000<br />

Fujitsu MB86S02A<br />

Micron MI–SOC–0133<br />

Matsushita MN39980<br />

STMicro W6411, W6500, W6501 2 , W6600 2 , W6552 2 , STV0974 2<br />

OmniVision OV7620, OV6630<br />

Sharp LZ0P3714 (CCD)<br />

Motorola MC30300 (Python) 2 , SCM20014 2 , SCM20114 2 , SCM22114 2 , SCM20027 2<br />

National Semiconductor LM9618 2<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

1<br />

Freescale Semiconductor does not recommend one supplier over another <strong>and</strong> in no way suggests that these are the only<br />

camera suppliers.<br />

2 These sensors not validated at time of publication.<br />

Smart camera sensors, which include imaging processing, usually support video mode transfer. They use<br />

an embedded timing syntax to replace the SENSB_VSYNC <strong>and</strong> SENSB_HSYNC signals. The timing<br />

syntax is defined by the BT.656 st<strong>and</strong>ard.<br />

This operation mode follows the recommendations of ITU BT.656 specifications. The only control signal<br />

used is SENSB_PIX_CLK. Start-of-frame <strong>and</strong> active-line signals are embedded in the data stream. An<br />

active line starts with a SAV code <strong>and</strong> ends with a EAV code. In some cases, digital blanking is inserted in<br />

between EAV <strong>and</strong> SAV code. The CSI decodes <strong>and</strong> filters out the timing-coding from the data stream, thus<br />

recovering SENSB_VSYNC <strong>and</strong> SENSB_HSYNC signals for internal use.<br />

Freescale Semiconductor 57<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.14.2.2 Gated Clock Mode<br />

The SENSB_VSYNC, SENSB_HSYNC, <strong>and</strong> SENSB_PIX_CLK signals are used in this mode. See<br />

Figure 43.<br />

Start of Frame<br />

SENSB_VSYNC<br />

SENSB_HSYNC<br />

SENSB_PIX_CLK<br />

SENSB_DATA[9:0]<br />

invalid<br />

Figure 43. Gated Clock Mode Timing Diagram<br />

A frame starts with a rising edge on SENSB_VSYNC (all the timings correspond to straight polarity of the<br />

corresponding signals). Then SENSB_HSYNC goes to high <strong>and</strong> hold for the entire line. Pixel clock is valid<br />

as long as SENSB_HSYNC is high. <strong>Data</strong> is latched at the rising edge of the valid pixel clocks.<br />

SENSB_HSYNC goes to low at the end of line. Pixel clocks then become invalid <strong>and</strong> the CSI stops<br />

receiving data from the stream. For next line the SENSB_HSYNC timing repeats. For next frame the<br />

SENSB_VSYNC timing repeats.<br />

4.3.14.2.3 Non-Gated Clock Mode<br />

The timing is the same as the gated-clock mode (described in Section 4.3.14.2.2, “Gated Clock Mode”),<br />

except for the SENSB_HSYNC signal, which is not used. See Figure 44. All incoming pixel clocks are<br />

valid <strong>and</strong> will cause data to be latched into the input FIFO. The SENSB_PIX_CLK signal is inactive (states<br />

low) until valid data is going to be transmitted over the bus.<br />

Start of Frame<br />

SENSB_VSYNC<br />

SENSB_PIX_CLK<br />

SENSB_DATA[7:0] invalid<br />

nth frame<br />

nth frame<br />

1st byte<br />

1st byte<br />

Active Line<br />

n+1th frame<br />

Figure 44. Non-Gated Clock Mode Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

58 Freescale Semiconductor<br />

invalid<br />

n+1th frame<br />

invalid<br />

1st byte<br />

1st byte<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

The timing described in Figure 44 is that of a Motorola sensor. Some other sensors may have a slightly<br />

different timing. The CSI can be programmed to support rising/falling-edge triggered SENSB_VSYNC;<br />

active-high/low SENSB_HSYNC; <strong>and</strong> rising/falling-edge triggered SENSB_PIX_CLK.<br />

4.3.14.3 Electrical Characteristics<br />

Figure 45 depicts the sensor interface timing, <strong>and</strong> Table 45 lists the timing parameters.<br />

SENSB_MCLK<br />

(Sensor Input)<br />

SENSB_PIX_CLK<br />

(Sensor Output)<br />

SENSB_DATA,<br />

SENSB_VSYNC,<br />

SENSB_HSYNC<br />

4.3.15 IPU—Display Interfaces<br />

Figure 45. Sensor Interface Timing Diagram<br />

Table 45. Sensor Interface Timing Parameters 1<br />

ID Parameter Symbol Min. Max. Units<br />

IP1 Sensor input clock frequency Fmck 0.01 133 MHz<br />

IP2 <strong>Data</strong> <strong>and</strong> control setup time Tsu 5 — ns<br />

IP3 <strong>Data</strong> <strong>and</strong> control holdup time Thd 3 — ns<br />

IP4 Sensor output (pixel) clock frequency Fpck 0.01 133 MHz<br />

1 The timing specifications for Figure 45 are referenced to the rising edge of SENS_PIX_CLK when the<br />

SENS_PIX_CLK_POL bit in the CSI_SENS_CONF register is cleared. When the SENS_PIX_CLK_POL is set,<br />

the clock is inverted <strong>and</strong> all timing specifications will remain the same but are referenced to the falling edge of<br />

the clock.<br />

4.3.15.1 Supported Display Components<br />

Table 46 lists the known supported display components at the time of publication.<br />

IP3<br />

1/IP1<br />

Freescale Semiconductor 59<br />

IP2<br />

1/IP4<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

TFT displays<br />

(memory-less)<br />

4.3.15.2 Synchronous Interfaces<br />

Table 46. Supported Display Components 1<br />

Type Vendor Model<br />

Sharp (HR-TFT Super<br />

Mobile LCD family)<br />

Samsung (QCIF <strong>and</strong><br />

QVGA TFT modules for<br />

mobile phones)<br />

LQ035Q7 DB02, LM019LC1Sxx<br />

LTS180S1-HF1, LTS180S3-HF1, LTS350Q1-PE1,<br />

LTS350Q1-PD1, LTS220Q1-HE1 2<br />

Toshiba (LTM series) LTM022P806 2 , LTM04C380K 2 ,<br />

LTM018A02A 2 , LTM020P332 2 , LTM021P337 2 , LTM019P334 2 ,<br />

LTM022A783 2 , LTM022A05ZZ 2<br />

NEC NL6448BC20-08E, NL8060BC31-27<br />

Display controllers Epson S1D15xxx series, S1D19xxx series, S1D13713, S1D13715<br />

Solomon Systech SSD1301 (OLED), SSD1828 (LDCD)<br />

Hitachi HD66766, HD66772<br />

ATI W2300<br />

Smart display modules Epson L1F10043 T 2 , L1F10044 T 2 , L1F10045 T 2 , L2D22002 2 , L2D20014 2 ,<br />

L2F50032 2 , L2D25001 T 2<br />

Digital video encoders<br />

(for TV)<br />

Hitachi 120 160 65K/4096 C-STN (#3284 LTD-1398-2) based on HD 66766<br />

controller<br />

Densitron Europe LTD All displays with MPU 80/68K series interface <strong>and</strong> serial peripheral<br />

interface<br />

Sharp LM019LC1Sxx<br />

Sony ACX506AKM<br />

Analog Devices ADV7174/7179<br />

Crystal (Cirrus Logic) CS49xx series<br />

Focus FS453/4<br />

1 Freescale Semiconductor does not recommend one supplier over another <strong>and</strong> in no way suggests that these are the only<br />

display component suppliers.<br />

2 These display components not validated at time of publication.<br />

4.3.15.2.1 Interface to Active Matrix TFT LCD Panels, Functional Description<br />

Figure 46 depicts the LCD interface timing for a generic active matrix color TFT panel. In this figure<br />

signals are shown with negative polarity. The sequence of events for active matrix interface timing is:<br />

DISPB_D3_CLK latches data into the panel on its negative edge (when positive polarity is<br />

selected). In active mode, DISPB_D3_CLK runs continuously.<br />

DISPB_D3_HSYNC causes the panel to start a new line.<br />

DISPB_D3_VSYNC causes the panel to start a new frame. It always encompasses at least one<br />

HSYNC pulse.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

60 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

DISPB_D3_DRDY acts like an output enable signal to the CRT display. This output enables the<br />

data to be shifted onto the display. When disabled, the data is invalid <strong>and</strong> the trace is off.<br />

DISPB_D3_VSYNC<br />

DISPB_D3_HSYNC<br />

DISPB_D3_HSYNC<br />

DISPB_D3_DRDY<br />

DISPB_D3_CLK<br />

DISPB_D3_DATA<br />

LINE 1 LINE 2 LINE 3 LINE 4 LINE n-1 LINE n<br />

1 2 3 m-1 m<br />

Figure 46. Interface Timing Diagram for TFT (Active Matrix) Panels<br />

4.3.15.2.2 Interface to Active Matrix TFT LCD Panels, Electrical Characteristics<br />

Figure 47 depicts the horizontal timing (timing of one line), including both the horizontal sync pulse <strong>and</strong><br />

the data. All figure parameters shown are programmable. The timing images correspond to inverse polarity<br />

of the DISPB_D3_CLK signal <strong>and</strong> active-low polarity of the DISPB_D3_HSYNC, DISPB_D3_VSYNC<br />

<strong>and</strong> DISPB_D3_DRDY signals.<br />

Start of line IP5<br />

DISPB_D3_CLK<br />

DISPB_D3_HSYNC<br />

DISPB_D3_DRDY<br />

DISPB_D3_DATA<br />

IP8<br />

Figure 47. TFT Panels Timing Diagram—Horizontal Sync Pulse<br />

Figure 48 depicts the vertical timing (timing of one frame). All figure parameters shown are<br />

programmable.<br />

Freescale Semiconductor 61<br />

IP7<br />

IP9 IP6<br />

IP10<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

DISPB_D3_VSYNC<br />

DISPB_D3_HSYNC<br />

DISPB_D3_DRDY<br />

IP11<br />

IP13<br />

Figure 48. TFT Panels Timing Diagram—Vertical Sync Pulse<br />

Table 47 shows timing parameters of signals presented in Figure 47 <strong>and</strong> Figure 48.<br />

Table 47. Synchronous Display Interface Timing Parameters—Pixel Level<br />

ID Parameter Symbol Value Units<br />

IP5 Display interface clock period Tdicp Tdicp 1<br />

IP6 Display pixel clock period Tdpcp (DISP3_IF_CLK_CNT_D+1) * Tdicp ns<br />

IP7 Screen width Tsw (SCREEN_WIDTH+1) * Tdpcp ns<br />

IP8 HSYNC width Thsw (H_SYNC_WIDTH+1) * Tdpcp ns<br />

IP9 Horizontal blank interval 1 Thbi1 BGXP * Tdpcp ns<br />

IP10 Horizontal blank interval 2 Thbi2 (SCREEN_WIDTH – BGXP – FW) * Tdpcp ns<br />

IP11 HSYNC delay Thsd H_SYNC_DELAY * Tdpcp ns<br />

IP12 Screen height Tsh (SCREEN_HEIGHT+1) * Tsw ns<br />

IP13 VSYNC width Tvsw if V_SYNC_WIDTH_L = 0 than<br />

(V_SYNC_WIDTH+1) * Tdpcp<br />

else<br />

(V_SYNC_WIDTH+1) * Tsw<br />

IP14 Vertical blank interval 1 Tvbi1 BGYP * Tsw ns<br />

IP15 Vertical blank interval 2 Tvbi2 (SCREEN_HEIGHT – BGYP – FH) * Tsw ns<br />

1 Display interface clock period immediate value.<br />

Tdicp<br />

Display interface clock period average value.<br />

IP14<br />

Start of frame<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

End of frame<br />

DISP3_IF_CLK_PER_WR<br />

T<br />

HSP_CLK<br />

⋅ -----------------------------------------------------------------<br />

for integer<br />

HSP_CLK_PERIOD<br />

DISP3_IF_CLK_PER_WR<br />

,<br />

-----------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

T<br />

HSP_CLK<br />

floor DISP3_IF_CLK_PER_WR<br />

⋅ ⎛ -----------------------------------------------------------------<br />

⎝<br />

+ 0.5 ± 0.5⎞<br />

HSP_CLK_PERIOD<br />

⎠<br />

for fractional DISP3_IF_CLK_PER_WR<br />

⎧<br />

⎪<br />

⎪<br />

= ⎨<br />

⎪<br />

,<br />

-----------------------------------------------------------------<br />

⎪<br />

HSP_CLK_PERIOD<br />

⎩<br />

62 Freescale Semiconductor<br />

IP12<br />

DISP3_IF_CLK_PER_WR<br />

Tdicp = T -----------------------------------------------------------------<br />

HSP_CLK<br />

⋅<br />

HSP_CLK_PERIOD<br />

IP15<br />

ns<br />

ns<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


NOTE<br />

HSP_CLK is the High-Speed Port Clock, which is the input to the Image<br />

Processing Unit (IPU). Its frequency is controlled by the Clock Control<br />

Module (CCM) settings. The HSP_CLK frequency must be greater than or<br />

equal to the AHB clock frequency.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

The SCREEN_WIDTH, SCREEN_HEIGHT, H_SYNC_WIDTH, V_SYNC_WIDTH, BGXP, BGYP <strong>and</strong><br />

V_SYNC_WIDTH_L parameters are programmed via the SDC_HOR_CONF, SDC_VER_CONF,<br />

SDC_BG_POS Registers. The FW <strong>and</strong> FH parameters are programmed for the corresponding DMA<br />

channel. The DISP3_IF_CLK_PER_WR, HSP_CLK_PERIOD <strong>and</strong> DISP3_IF_CLK_CNT_D parameters<br />

are programmed via the DI_DISP3_TIME_CONF, DI_HSP_CLK_PER <strong>and</strong> DI_DISP_ACC_CC<br />

Registers.<br />

Figure 49 depicts the synchronous display interface timing for access level, <strong>and</strong> Table 48 lists the timing<br />

parameters. The DISP3_IF_CLK_DOWN_WR <strong>and</strong> DISP3_IF_CLK_UP_WR parameters are set via the<br />

DI_DISP3_TIME_CONF Register.<br />

DISPB_D3_VSYNC<br />

DISPB_D3_HSYNC<br />

DISPB_D3_DRDY<br />

other controls<br />

DISPB_D3_CLK<br />

DISPB_DATA<br />

Figure 49. Synchronous Display Interface Timing Diagram—Access Level<br />

Table 48. Synchronous Display Interface Timing Parameters—Access Level<br />

ID Parameter Symbol Min Typ 1<br />

Max Units<br />

IP16 Display interface clock low time Tckl Tdicd–Tdicu–1.5 Tdicd 2 –Tdicu 3 Tdicd–Tdicu+1.5 ns<br />

IP17 Display interface clock high<br />

time<br />

Tckh Tdicp–Tdicd+Tdicu–1.5 Tdicp–Tdicd+Tdicu Tdicp–Tdicd+Tdicu+1.5 ns<br />

IP18 <strong>Data</strong> setup time Tdsu Tdicd–3.5 Tdicu — ns<br />

IP19 <strong>Data</strong> holdup time Tdhd Tdicp–Tdicd–3.5 Tdicp–Tdicu — ns<br />

IP20 Control signals setup time to<br />

display interface clock<br />

IP16<br />

IP17<br />

IP19<br />

Tcsu Tdicd–3.5 Tdicu — ns<br />

1<br />

The exact conditions have not been finalized, but will likely match the current customer requirement for their specific display. These<br />

conditions may be device specific.<br />

Freescale Semiconductor 63<br />

IP20<br />

IP18<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

2 Display interface clock down time<br />

Tdicd<br />

1<br />

--T<br />

2 HSP_CLK<br />

⋅ ceil<br />

3 Display interface clock up time<br />

Tdicu<br />

=<br />

=<br />

1<br />

--T<br />

2 HSP_CLK<br />

⋅ ceil<br />

2 DISP3_IF_CLK_DOWN_WR<br />

⋅<br />

--------------------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

2 DISP3_IF_CLK_UP_WR<br />

⋅<br />

---------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

where CEIL(X) rounds the elements of X to the nearest integers towards infinity.<br />

4.3.15.3 Interface to Sharp HR-TFT Panels<br />

Figure 50 depicts the Sharp HR-TFT panel interface timing, <strong>and</strong> Table 49 lists the timing parameters. The<br />

CLS_RISE_DELAY, CLS_FALL_DELAY, PS_FALL_DELAY, PS_RISE_DELAY,<br />

REV_TOGGLE_DELAY parameters are defined in the SDC_SHARP_CONF_1 <strong>and</strong><br />

SDC_SHARP_CONF_2 registers. For other Sharp interface timing characteristics, refer to<br />

Section 4.3.15.2.2, “Interface to Active Matrix TFT LCD Panels, Electrical Characteristics.” The timing<br />

images correspond to straight polarity of the Sharp signals.<br />

DISPB_D3_CLK<br />

DISPB_D3_DATA<br />

DISPB_D3_SPL<br />

DISPB_D3_HSYNC<br />

DISPB_D3_CLS<br />

DISPB_D3_PS<br />

DISPB_D3_REV<br />

IP22<br />

IP24<br />

Horizontal timing<br />

IP21<br />

IP23<br />

IP25<br />

D1 D2<br />

1 DISPB_D3_CLK period<br />

IP26<br />

Example is drawn with FW+1=320 pixel/line, FH+1=240 lines.<br />

SPL pulse width is fixed <strong>and</strong> aligned to the first data of the line.<br />

REV toggles every HSYNC period.<br />

Figure 50. Sharp HR-TFT Panel Interface Timing Diagram—Pixel Level<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

64 Freescale Semiconductor<br />

D320<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 49. Sharp Synchronous Display Interface Timing Parameters—Pixel Level<br />

4.3.15.4 Synchronous Interface to Dual-Port Smart Displays<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

ID Parameter Symbol Value Units<br />

IP21 SPL rise time Tsplr (BGXP – 1) * Tdpcp ns<br />

IP22 CLS rise time Tclsr CLS_RISE_DELAY * Tdpcp ns<br />

IP23 CLS fall time Tclsf CLS_FALL_DELAY * Tdpcp ns<br />

IP24 CLS rise <strong>and</strong> PS fall time Tpsf PS_FALL_DELAY * Tdpcp ns<br />

IP25 PS rise time Tpsr PS_RISE_DELAY * Tdpcp ns<br />

IP26 REV toggle time Trev REV_TOGGLE_DELAY * Tdpcp ns<br />

Functionality <strong>and</strong> electrical characteristics of the synchronous interface to dual-port smart displays are<br />

identical to parameters of the synchronous interface. See Section 4.3.15.2.2, “Interface to Active Matrix<br />

TFT LCD Panels, Electrical Characteristics.”<br />

4.3.15.4.1 Interface to a TV Encoder, Functional Description<br />

The interface has an 8-bit data bus, transferring a single 8-bit value (Y/U/V) in each cycle. The bits<br />

D7–D0 of the value are mapped to bits LD17–LD10 of the data bus, respectively. Figure 51 depicts the<br />

interface timing,<br />

The frequency of the clock DISPB_D3_CLK is 27 MHz (within 10%).<br />

The DISPB_D3_HSYNC, DISPB_D3_VSYNC <strong>and</strong> DISPB_D3_DRDY signals are active low.<br />

The transition to the next row is marked by the negative edge of the DISPB_D3_HSYNC signal. It<br />

remains low for a single clock cycle.<br />

The transition to the next field/frame is marked by the negative edge of the DISPB_D3_VSYNC<br />

signal. It remains low for at least one clock cycle.<br />

— At a transition to an odd field (of the next frame), the negative edges of DISPB_D3_VSYNC<br />

<strong>and</strong> DISPB_D3_HSYNC coincide.<br />

— At a transition to an even field (of the same frame), they do not coincide.<br />

The active intervals—during which data is transferred—are marked by the DISPB_D3_HSYNC<br />

signal being high.<br />

Freescale Semiconductor 65<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

DISPB_D3_CLK<br />

DISPB_D3_HSYNC<br />

DISPB_D3_VSYNC<br />

DISPB_D3_DRDY<br />

DISPB_DATA<br />

DISPB_D3_HSYNC<br />

DISPB_D3_DRDY<br />

DISPB_D3_VSYNC<br />

DISPB_D3_HSYNC<br />

DISPB_D3_DRDY<br />

DISPB_D3_VSYNC<br />

DISPB_D3_HSYNC<br />

DISPB_D3_DRDY<br />

DISPB_D3_VSYNC<br />

DISPB_D3_HSYNC<br />

DISPB_D3_DRDY<br />

DISPB_D3_VSYNC<br />

621<br />

Cb Y Cr Y<br />

Pixel <strong>Data</strong> Timing<br />

Line <strong>and</strong> Field Timing - NTSC<br />

Even Field Odd Field<br />

308<br />

523<br />

261<br />

Odd Field Even Field<br />

Figure 51. TV Encoder Interface Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Cb Y Cr<br />

524 525 1 2 3 4 5 6<br />

10<br />

Even Field Odd Field<br />

262<br />

263 264 265 266 267 268 269 273<br />

Odd Field Even Field<br />

622 623 624 625 1 2 3 4<br />

23<br />

309 310 311 312 313 314 315 316<br />

336<br />

Line <strong>and</strong> Field Timing - PAL<br />

66 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


4.3.15.4.2 Interface to a TV Encoder, Electrical Characteristics<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

The timing characteristics of the TV encoder interface are identical to the synchronous display<br />

characteristics. See Section 4.3.15.2.2, “Interface to Active Matrix TFT LCD Panels, Electrical<br />

Characteristics.”<br />

4.3.15.5 Asynchronous Interfaces<br />

4.3.15.5.1 Parallel Interfaces, Functional Description<br />

The IPU supports the following asynchronous parallel interfaces:<br />

System 80 interface<br />

— Type 1 (sampling with the chip select signal) with <strong>and</strong> without byte enable signals.<br />

— Type 2 (sampling with the read <strong>and</strong> write signals) with <strong>and</strong> without byte enable signals.<br />

System 68k interface<br />

— Type 1 (sampling with the chip select signal) with or without byte enable signals.<br />

— Type 2 (sampling with the read <strong>and</strong> write signals) with or without byte enable signals.<br />

For each of four system interfaces, there are three burst modes:<br />

1. Burst mode without a separate clock. The burst length is defined by the corresponding parameters<br />

of the IDMAC (when data is transferred from the system memory) of by the HBURST signal (when<br />

the MCU directly accesses the display via the slave AHB bus). For system 80 <strong>and</strong> system 68k type<br />

1 interfaces, data is sampled by the CS signal <strong>and</strong> other control signals changes only when transfer<br />

direction is changed during the burst. For type 2 interfaces, data is sampled by the WR/RD signals<br />

(system 80) or by the ENABLE signal (system 68k) <strong>and</strong> the CS signal stays active during the whole<br />

burst.<br />

2. Burst mode with the separate clock DISPB_BCLK. In this mode, data is sampled with the<br />

DISPB_BCLK clock. The CS signal stays active during whole burst transfer. Other controls are<br />

changed simultaneously with data when the bus state (read, write or wait) is altered. The CS<br />

signals <strong>and</strong> other controls move to non-active state after burst has been completed.<br />

3. Single access mode. In this mode, slave AHB <strong>and</strong> DMA burst are broken to single accesses. The<br />

data is sampled with CS or other controls according the interface type as described above. All<br />

controls (including CS) become non-active for one display interface clock after each access. This<br />

mode corresponds to the ATI single access mode.<br />

Both system 80 <strong>and</strong> system 68k interfaces are supported for all described modes as depicted in Figure 52,<br />

Figure 53, Figure 54, <strong>and</strong> Figure 55. These timing images correspond to active-low DISPB_D#_CS,<br />

DISPB_D#_WR <strong>and</strong> DISPB_D#_RD signals.<br />

Additionally, the IPU allows a programmable pause between two burst. The pause is defined in the<br />

HSP_CLK cycles. It allows to avoid timing violation between two sequential bursts or two accesses to<br />

different displays. The range of this pause is from 4 to 19 HSP_CLK cycles.<br />

Freescale Semiconductor 67<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

DISPB_RD<br />

DISPB_DATA<br />

DISPB_BCLK<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

DISPB_RD<br />

DISPB_DATA<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

DISPB_RD<br />

DISPB_DATA<br />

Burst access mode with sampling by CS signal<br />

Burst access mode with sampling by separate burst clock (BCLK)<br />

Single access mode (all control signals are not active for one display interface clock after each display access)<br />

Figure 52. Asynchronous Parallel System 80 Interface (Type 1) Burst Mode Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

68 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

DISPB_RD<br />

DISPB_DATA<br />

DISPB_BCLK<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

DISPB_RD<br />

DISPB_DATA<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

DISPB_RD<br />

DISPB_DATA<br />

Burst access mode with sampling by WR/RD signals<br />

Burst access mode with sampling by separate burst clock (BCLK)<br />

Single access mode (all control signals are not active for one display interface clock after each display access)<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Figure 53. Asynchronous Parallel System 80 Interface (Type 2) Burst Mode Timing Diagram<br />

Freescale Semiconductor 69<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

(READ/WRITE)<br />

DISPB_RD<br />

(ENABLE)<br />

DISPB_DATA<br />

DISPB_BCLK<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

(READ/WRITE)<br />

DISPB_RD<br />

(ENABLE)<br />

DISPB_DATA<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

(READ/WRITE)<br />

DISPB_RD<br />

(ENABLE)<br />

DISPB_DATA<br />

Burst access mode with sampling by CS signal<br />

Burst access mode with sampling by separate burst clock (BCLK)<br />

Single access mode (all control signals are not active for one display interface clock after each display access)<br />

Figure 54. Asynchronous Parallel System 68k Interface (Type 1) Burst Mode Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

70 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

(READ/WRITE)<br />

DISPB_RD<br />

(ENABLE)<br />

DISPB_DATA<br />

DISPB_BCLK<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

(READ/WRITE)<br />

DISPB_RD<br />

(ENABLE)<br />

DISPB_DATA<br />

DISPB_D#_CS<br />

DISPB_PAR_RS<br />

DISPB_WR<br />

(READ/WRITE)<br />

DISPB_RD<br />

(ENABLE)<br />

DISPB_DATA<br />

Burst access mode with sampling by ENABLE signal<br />

Burst access mode with sampling by separate burst clock (BCLK)<br />

Single access mode (all control signals are not active for one display interface clock after each display access)<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Figure 55. Asynchronous Parallel System 68k Interface (Type 2) Burst Mode TIming Diagram<br />

Display read operation can be performed with wait states when each read access takes up to four display<br />

interface clock cycles according to the DISP0_RD_WAIT_ST parameter in the<br />

DI_DISP0_TIME_CONF_3, DI_DISP1_TIME_CONF_3, DI_DISP2_TIME_CONF_3 Registers.<br />

Figure 56 shows timing of the parallel interface with read wait states.<br />

Freescale Semiconductor 71<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

DISPB_D#_CS<br />

DISPB_RD<br />

DISPB_WR<br />

DISPB_PAR_RS<br />

DISPB_DATA<br />

DISPB_D#_CS<br />

DISPB_RD<br />

DISPB_WR<br />

DISPB_PAR_RS<br />

DISPB_DATA<br />

DISPB_D#_CS<br />

DISPB_RD<br />

DISPB_WR<br />

DISPB_PAR_RS<br />

DISPB_DATA<br />

WRITE OPERATION READ OPERATION<br />

DISP0_RD_WAIT_ST=00<br />

DISP0_RD_WAIT_ST=01<br />

DISP0_RD_WAIT_ST=10<br />

Figure 56. Parallel Interface Timing Diagram—Read Wait States<br />

4.3.15.5.2 Parallel Interfaces, Electrical Characteristics<br />

Figure 57, Figure 59, Figure 58, <strong>and</strong> Figure 60 depict timing of asynchronous parallel interfaces based on<br />

the system 80 <strong>and</strong> system 68k interfaces. Table 50 lists the timing parameters at display access level. All<br />

timing images are based on active low control signals (signals polarity is controlled via the<br />

DI_DISP_SIG_POL Register).<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

72 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


DISPB_PAR_RS<br />

DISPB_RD (READ_L)<br />

DISPB_DATA[17]<br />

(READ_H)<br />

DISPB_D#_CS<br />

DISPB_WR (WRITE_L)<br />

DISPB_DATA[16]<br />

(WRITE_H)<br />

DISPB_DATA<br />

(Input)<br />

DISPB_DATA<br />

(Output)<br />

Read <strong>Data</strong><br />

IP28, IP27<br />

IP35, IP33 IP36, IP34<br />

IP31, IP29<br />

read point<br />

IP37 IP38<br />

IP46,IP44<br />

IP47<br />

IP39<br />

IP45, IP43<br />

IP42, IP41<br />

Figure 57. Asynchronous Parallel System 80 Interface (Type 1) Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

IP32, IP30<br />

Electrical Characteristics<br />

Freescale Semiconductor 73<br />

IP40<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

DISPB_PAR_RS<br />

DISPB_D#_CS<br />

DISPB_RD (READ_L)<br />

DISPB_DATA[17]<br />

(READ_H)<br />

DISPB_WR (WRITE_L)<br />

DISPB_DATA[16]<br />

(WRITE_H)<br />

DISPB_DATA<br />

(Input)<br />

DISPB_DATA<br />

(Output)<br />

IP35, IP33<br />

IP37<br />

IP46,IP44<br />

IP47<br />

IP31, IP29<br />

IP39<br />

IP45, IP43<br />

Read <strong>Data</strong><br />

IP42, IP41<br />

IP28, IP27<br />

read point<br />

Figure 58. Asynchronous Parallel System 80 Interface (Type 2) Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

IP36, IP34<br />

IP32, IP30<br />

74 Freescale Semiconductor<br />

IP38<br />

IP40<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


DISPB_PAR_RS<br />

DISPB_RD (ENABLE_L)<br />

DISPB_DATA[17]<br />

(ENABLE_H)<br />

DISPB_D#_CS<br />

DISPB_WR<br />

(READ/WRITE)<br />

DISPB_DATA<br />

(Input)<br />

DISPB_DATA<br />

(Output)<br />

IP37<br />

Read <strong>Data</strong><br />

IP28, IP27<br />

IP35,IP33 IP36, IP34<br />

IP46,IP44<br />

IP47<br />

IP31, IP29<br />

IP39<br />

IP45, IP43<br />

IP42, IP41<br />

read point<br />

Figure 59. Asynchronous Parallel System 68k Interface (Type 1) Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

IP32, IP30<br />

Freescale Semiconductor 75<br />

IP38<br />

IP40<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

DISPB_PAR_RS<br />

DISPB_D#_CS<br />

DISPB_RD (ENABLE_L)<br />

DISPB_DATA[17]<br />

(ENABLE_H)<br />

DISPB_WR<br />

(READ/WRITE)<br />

DISPB_DATA<br />

(Input)<br />

DISPB_DATA<br />

(Output)<br />

IP37<br />

Figure 60. Asynchronous Parallel System 68k Interface (Type 2) Timing Diagram<br />

Table 50. Asynchronous Parallel Interface Timing Parameters—Access Level<br />

ID Parameter Symbol Min. Typ. 1 Max. Units<br />

IP27 Read system cycle time Tcycr Tdicpr–1.5 Tdicpr 2<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Tdicpr+1.5 ns<br />

IP28 Write system cycle time Tcycw Tdicpw–1.5 Tdicpw 3 Tdicpw+1.5 ns<br />

IP29 Read low pulse width Trl Tdicdr–Tdicur–1.5 Tdicdr 4 –Tdicur 5 Tdicdr–Tdicur+1.5 ns<br />

IP30 Read high pulse width Trh Tdicpr–Tdicdr+Tdicur–1.5 Tdicpr–Tdicdr+<br />

Tdicur<br />

Tdicpr–Tdicdr+Tdicur+1.5 ns<br />

IP31 Write low pulse width Twl Tdicdw–Tdicuw–1.5 Tdicdw 6 –Tdicuw 7 Tdicdw–Tdicuw+1.5 ns<br />

IP32 Write high pulse width Twh Tdicpw–Tdicdw+<br />

Tdicuw–1.5<br />

Read <strong>Data</strong><br />

IP28, IP27<br />

IP35,IP33 IP36, IP34<br />

IP46,IP44<br />

IP47<br />

IP31, IP29<br />

IP39<br />

IP45, IP43<br />

IP42, IP41<br />

read point<br />

Tdicpw–Tdicdw+<br />

Tdicuw<br />

IP32, IP30<br />

Tdicpw–Tdicdw+<br />

Tdicuw+1.5<br />

IP33 Controls setup time for read Tdcsr Tdicur–1.5 Tdicur — ns<br />

IP34 Controls hold time for read Tdchr Tdicpr–Tdicdr–1.5 Tdicpr–Tdicdr — ns<br />

IP35 Controls setup time for write Tdcsw Tdicuw–1.5 Tdicuw — ns<br />

76 Freescale Semiconductor<br />

IP38<br />

IP40<br />

ns<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

IP36 Controls hold time for write Tdchw Tdicpw–Tdicdw–1.5 Tdicpw–Tdicdw — ns<br />

IP37 Slave device data delay 8<br />

IP38 Slave device data hold time 8<br />

Tracc 0 — Tdrp 9 –Tlbd 10 –Tdicur–1.5 ns<br />

Troh Tdrp–Tlbd–Tdicdr+1.5 — Tdicpr–Tdicdr–1.5 ns<br />

IP39 Write data setup time Tds Tdicdw–1.5 Tdicdw — ns<br />

IP40 Write data hold time Tdh Tdicpw–Tdicdw–1.5 Tdicpw–Tdicdw — ns<br />

IP41 Read period 2<br />

IP42 Write period 3<br />

IP43 Read down time 4<br />

IP44 Read up time 5<br />

IP45 Write down time 6<br />

IP46 Write up time 7<br />

IP47 Read time point 9<br />

Tdicpr Tdicpr–1.5 Tdicpr Tdicpr+1.5 ns<br />

Tdicpw Tdicpw–1.5 Tdicpw Tdicpw+1.5 ns<br />

Tdicdr Tdicdr–1.5 Tdicdr Tdicdr+1.5 ns<br />

Tdicur Tdicur–1.5 Tdicur Tdicur+1.5 ns<br />

Tdicdw Tdicdw–1.5 Tdicdw Tdicdw+1.5 ns<br />

Tdicuw Tdicuw–1.5 Tdicuw Tdicuw+1.5 ns<br />

Tdrp Tdrp–1.5 Tdrp Tdrp+1.5 ns<br />

1 The exact conditions have not been finalized, but will likely match the current customer requirement for their specific display. These<br />

conditions may be device specific.<br />

2 Display interface clock period value for read:<br />

3 Display interface clock period value for write:<br />

4 Display interface clock down time for read:<br />

5 Display interface clock up time for read:<br />

6 Display interface clock down time for write:<br />

7 Display interface clock up time for write:<br />

8 This parameter is a requirement to the display connected to the IPU<br />

9 <strong>Data</strong> read point<br />

Table 50. Asynchronous Parallel Interface Timing Parameters—Access Level (continued)<br />

ID Parameter Symbol Min. Typ. 1<br />

DISP#_IF_CLK_PER_RD<br />

Tdicpr = T ⋅ ceil ---------------------------------------------------------------<br />

HSP_CLK HSP_CLK_PERIOD<br />

DISP#_IF_CLK_PER_WR<br />

Tdicpw = T ⋅ ceil -----------------------------------------------------------------<br />

HSP_CLK HSP_CLK_PERIOD<br />

1<br />

2 DISP#_IF_CLK_DOWN_RD<br />

Tdicdr --T ceil<br />

2 HSP_CLK ⋅<br />

=<br />

⋅ -------------------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

1<br />

2 DISP#_IF_CLK_UP_RD<br />

Tdicur --T ceil<br />

2 HSP_CLK ⋅<br />

=<br />

⋅ --------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

1<br />

2 DISP#_IF_CLK_DOWN_WR<br />

Tdicdw --T ceil<br />

2 HSP_CLK ⋅<br />

=<br />

⋅ --------------------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

1<br />

2 DISP#_IF_CLK_UP_WR<br />

Tdicuw --T<br />

2 HSP_CLK<br />

ceil ⋅<br />

=<br />

⋅ ---------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

Tdrp T<br />

HSP_CLK<br />

ceil DISP#_READ_EN<br />

=<br />

⋅ -------------------------------------------------<br />

HSP_CLK_PERIOD<br />

Max. Units<br />

10 Loopback delay Tlbd is the cumulative propagation delay of read controls <strong>and</strong> read data. It includes an IPU output delay, a<br />

device-level output delay, board delays, a device-level input delay, an IPU input delay. This value is device specific.<br />

Freescale Semiconductor 77<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

The DISP#_IF_CLK_PER_WR, DISP#_IF_CLK_PER_RD, HSP_CLK_PERIOD,<br />

DISP#_IF_CLK_DOWN_WR, DISP#_IF_CLK_UP_WR, DISP#_IF_CLK_DOWN_RD,<br />

DISP#_IF_CLK_UP_RD <strong>and</strong> DISP#_READ_EN parameters are programmed via the<br />

DI_DISP#_TIME_CONF_1, DI_DISP#_TIME_CONF_2 <strong>and</strong> DI_HSP_CLK_PER Registers.<br />

4.3.15.5.3 Serial Interfaces, Functional Description<br />

The IPU supports the following types of asynchronous serial interfaces:<br />

3-wire (with bidirectional data line)<br />

4-wire (with separate data input <strong>and</strong> output lines)<br />

5-wire type 1 (with sampling RS by the serial clock)<br />

5-wire type 2 (with sampling RS by the chip select signal)<br />

Figure 61 depicts timing of the 3-wire serial interface. The timing images correspond to active-low<br />

DISPB_D#_CS signal <strong>and</strong> the straight polarity of the DISPB_SD_D_CLK signal.<br />

For this interface, a bidirectional data line is used outside the device. The IPU still uses separate input <strong>and</strong><br />

output data lines (IPP_IND_DISPB_SD_D <strong>and</strong> IPP_DO_DISPB_SD_D). The I/O mux should provide<br />

joining the internal data lines to the bidirectional external line according to the IPP_OBE_DISPB_SD_D<br />

signal provided by the IPU.<br />

Each data transfer can be preceded by an optional preamble with programmable length <strong>and</strong> contents. The<br />

preamble is followed by read/write (RW) <strong>and</strong> address (RS) bits. The order of the these bits is<br />

programmable. The RW bit can be disabled. The following data can consist of one word or of a whole<br />

burst. The interface parameters are controlled by the DI_SER_DISP1_CONF <strong>and</strong> DI_SER_DISP2_CONF<br />

Registers.<br />

DISPB_D#_CS<br />

DISPB_SD_D_CLK<br />

1 display IF<br />

clock cycle<br />

DISPB_SD_D RW RS<br />

Preamble<br />

Figure 61. 3-Wire Serial Interface Timing Diagram<br />

Figure 62 depicts timing of the 4-wire serial interface. For this interface, there are separate input <strong>and</strong> output<br />

data lines both inside <strong>and</strong> outside the device.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

D7 D6 D5 D4 D3 D2 D1 D0<br />

Input or output data<br />

1 display IF<br />

clock cycle<br />

78 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


DISPB_D#_CS<br />

DISPB_SD_D_CLK<br />

DISPB_SD_D<br />

(Output)<br />

DISPB_SD_D<br />

(Input)<br />

DISPB_D#_CS<br />

DISPB_SD_D_CLK<br />

DISPB_SD_D<br />

(Output)<br />

DISPB_SD_D<br />

(Input)<br />

1 display IF<br />

clock cycle<br />

Preamble<br />

1 display IF<br />

clock cycle<br />

Preamble<br />

RW RS<br />

RW RS<br />

Figure 62. 4-Wire Serial Interface Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Output data<br />

Electrical Characteristics<br />

D7 D6 D5 D4 D3 D2 D1 D0<br />

D7 D6 D5 D4 D3 D2 D1 D0<br />

Input data<br />

Figure 63 depicts timing of the 5-wire serial interface (Type 1). For this interface, a separate RS line is<br />

added. When a burst is transmitted within single active chip select interval, the RS can be changed at<br />

boundaries of words.<br />

Freescale Semiconductor 79<br />

Write<br />

Read<br />

1 display IF<br />

clock cycle<br />

1 display IF<br />

clock cycle<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

DISPB_D#_CS<br />

DISPB_SD_D_CLK<br />

DISPB_SD_D<br />

(Output)<br />

DISPB_SD_D<br />

(Input)<br />

DISPB_SER_RS<br />

DISPB_D#_CS<br />

DISPB_SD_D_CLK<br />

DISPB_SD_D<br />

(Output)<br />

DISPB_SD_D<br />

(Input)<br />

DISPB_SER_RS<br />

1 display IF<br />

clock cycle<br />

Preamble<br />

1 display IF<br />

clock cycle<br />

Preamble<br />

Figure 63. 5-Wire Serial Interface (Type 1) Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

RW D7 D6 D5 D4 D3 D2 D1 D0<br />

80 Freescale Semiconductor<br />

Write<br />

Read<br />

RW<br />

Output data<br />

1 display IF<br />

clock cycle<br />

1 display IF<br />

clock cycle<br />

D7 D6 D5 D4 D3 D2 D1 D0<br />

Input data<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Figure 64 depicts timing of the 5-wire serial interface (Type 2). For this interface, a separate RS line is<br />

added. When a burst is transmitted within single active chip select interval, the RS can be changed at<br />

boundaries of words.<br />

DISPB_D#_CS<br />

DISPB_SD_D_CLK<br />

DISPB_SD_D<br />

(Output)<br />

DISPB_SD_D<br />

(Input)<br />

DISPB_SER_RS<br />

DISPB_D#_CS<br />

DISPB_SD_D_CLK<br />

DISPB_SD_D<br />

(Output)<br />

DISPB_SD_D<br />

(Input)<br />

DISPB_SER_RS<br />

1 display IF<br />

clock cycle<br />

1 display IF<br />

clock cycle<br />

1 display IF<br />

clock cycle<br />

Preamble<br />

1 display IF<br />

clock cycle<br />

Preamble<br />

Figure 64. 5-Wire Serial Interface (Type 2) Timing Diagram<br />

Freescale Semiconductor 81<br />

Write<br />

RW<br />

Read<br />

RW<br />

D7 D6 D5 D4 D3 D2 D1 D0<br />

Output data<br />

D7 D6 D5 D4 D3 D2 D1 D0<br />

Input data<br />

1 display IF<br />

clock cycle<br />

1 display IF<br />

clock cycle<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.15.5.4 Serial Interfaces, Electrical Characteristics<br />

Figure 65 depicts timing of the serial interface. Table 51 lists the timing parameters at display access level.<br />

DISPB_SER_RS<br />

DISPB_SD_D_CLK<br />

DISPB_DATA<br />

(Input)<br />

DISPB_DATA<br />

(Output)<br />

Figure 65. Asynchronous Serial Interface Timing Diagram<br />

Table 51. Asynchronous Serial Interface Timing Parameters—Access Level<br />

ID Parameter Symbol Min. Typ. 1 Max. Units<br />

IP48 Read system cycle time Tcycr Tdicpr–1.5 Tdicpr 2 Tdicpr+1.5 ns<br />

IP49 Write system cycle time Tcycw Tdicpw–1.5 Tdicpw 3 Tdicpw+1.5 ns<br />

IP50 Read clock low pulse width Trl Tdicdr–Tdicur–1.5 Tdicdr 4 –Tdicur 5<br />

IP51 Read clock high pulse width Trh Tdicpr–Tdicdr+Tdicur–1.5 Tdicpr–Tdicdr+<br />

Tdicur<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Tdicdr–Tdicur+1.5 ns<br />

Tdicpr–Tdicdr+Tdicur+1.5 ns<br />

IP52 Write clock low pulse width Twl Tdicdw–Tdicuw–1.5 Tdicdw 6 –Tdicuw 7 Tdicdw–Tdicuw+1.5 ns<br />

IP53 Write clock high pulse width Twh Tdicpw–Tdicdw+<br />

Tdicuw–1.5<br />

Read <strong>Data</strong><br />

IP49, IP48<br />

IP56,IP54 IP57, IP55<br />

IP58<br />

IP67,IP65<br />

IP47<br />

IP50, IP52<br />

IP60<br />

IP64, IP66<br />

IP62, IP63<br />

read point<br />

Tdicpw–Tdicdw+<br />

Tdicuw<br />

IP51, IP53<br />

Tdicpw–Tdicdw+<br />

Tdicuw+1.5<br />

IP54 Controls setup time for read Tdcsr Tdicur–1.5 Tdicur — ns<br />

IP55 Controls hold time for read Tdchr Tdicpr–Tdicdr–1.5 Tdicpr–Tdicdr — ns<br />

82 Freescale Semiconductor<br />

IP59<br />

IP61<br />

ns<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

IP56 Controls setup time for write Tdcsw Tdicuw–1.5 Tdicuw — ns<br />

IP57 Controls hold time for write Tdchw Tdicpw–Tdicdw–1.5 Tdicpw–Tdicdw — ns<br />

IP58 Slave device data delay 8<br />

IP59 Slave device data hold time 8<br />

Tracc 0 — Tdrp 9 –Tlbd 10 –Tdicur–1.5 ns<br />

Troh Tdrp–Tlbd–Tdicdr+1.5 — Tdicpr–Tdicdr–1.5 ns<br />

IP60 Write data setup time Tds Tdicdw–1.5 Tdicdw — ns<br />

IP61 Write data hold time Tdh Tdicpw–Tdicdw–1.5 Tdicpw–Tdicdw — ns<br />

IP62 Read period 2<br />

IP63 Write period 3<br />

IP64 Read down time 4<br />

IP65 Read up time 5<br />

IP66 Write down time 6<br />

IP67 Write up time 7<br />

Tdicpr Tdicpr–1.5 Tdicpr Tdicpr+1.5 ns<br />

Tdicpw Tdicpw–1.5 Tdicpw Tdicpw+1.5 ns<br />

Tdicdr Tdicdr–1.5 Tdicdr Tdicdr+1.5 ns<br />

Tdicur Tdicur–1.5 Tdicur Tdicur+1.5 ns<br />

Tdicdw Tdicdw–1.5 Tdicdw Tdicdw+1.5 ns<br />

Tdicuw Tdicuw–1.5 Tdicuw Tdicuw+1.5 ns<br />

IP68 Read time point 9 Tdrp Tdrp–1.5 Tdrp Tdrp+1.5 ns<br />

1 The exact conditions have not been finalized, but will likely match the current customer requirement for their specific display. These<br />

conditions may be device specific.<br />

2 Display interface clock period value for read:<br />

3 Display interface clock period value for write:<br />

4 Display interface clock down time for read:<br />

5 Display interface clock up time for read:<br />

6 Display interface clock down time for write:<br />

7 Display interface clock up time for write:<br />

8 This parameter is a requirement to the display connected to the IPU.<br />

9 <strong>Data</strong> read point:<br />

Table 51. Asynchronous Serial Interface Timing Parameters—Access Level (continued)<br />

ID Parameter Symbol Min. Typ. 1<br />

DISP#_IF_CLK_PER_RD<br />

Tdicpr = T ⋅ ceil ---------------------------------------------------------------<br />

HSP_CLK HSP_CLK_PERIOD<br />

DISP#_IF_CLK_PER_WR<br />

Tdicpw = T ⋅ ceil -----------------------------------------------------------------<br />

HSP_CLK HSP_CLK_PERIOD<br />

1<br />

2 DISP#_IF_CLK_DOWN_RD<br />

Tdicdr --T ceil<br />

2 HSP_CLK ⋅<br />

=<br />

⋅ -------------------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

1<br />

2 DISP#_IF_CLK_UP_RD<br />

Tdicur --T ceil<br />

2 HSP_CLK ⋅<br />

=<br />

⋅ --------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

1<br />

2 DISP#_IF_CLK_DOWN_WR<br />

Tdicdw --T ceil<br />

2 HSP_CLK ⋅<br />

=<br />

⋅ --------------------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

1<br />

2 DISP#_IF_CLK_UP_WR<br />

Tdicuw --T<br />

2 HSP_CLK<br />

ceil ⋅<br />

=<br />

⋅ ---------------------------------------------------------------------<br />

HSP_CLK_PERIOD<br />

Tdrp T ceil<br />

HSP_CLK DISP#_READ_EN<br />

=<br />

⋅ -------------------------------------------------<br />

HSP_CLK_PERIOD<br />

Max. Units<br />

10 Loopback delay Tlbd is the cumulative propagation delay of read controls <strong>and</strong> read data. It includes an IPU output delay, a<br />

device-level output delay, board delays, a device-level input delay, an IPU input delay. This value is device specific.<br />

Freescale Semiconductor 83<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

The DISP#_IF_CLK_PER_WR, DISP#_IF_CLK_PER_RD, HSP_CLK_PERIOD,<br />

DISP#_IF_CLK_DOWN_WR, DISP#_IF_CLK_UP_WR, DISP#_IF_CLK_DOWN_RD,<br />

DISP#_IF_CLK_UP_RD <strong>and</strong> DISP#_READ_EN parameters are programmed via the<br />

DI_DISP#_TIME_CONF_1, DI_DISP#_TIME_CONF_2 <strong>and</strong> DI_HSP_CLK_PER Registers.<br />

4.3.16 Memory Stick Host Controller (MSHC)<br />

Figure 66, Figure 67, <strong>and</strong> Figure 68 depict the MSHC timings, <strong>and</strong> Table 52 <strong>and</strong> Table 53 list the timing<br />

parameters.<br />

MSHC_SCLK<br />

MSHC_SCLK<br />

MSHC_BS<br />

MSHC_DATA<br />

(Output)<br />

MSHC_DATA<br />

(Intput)<br />

tSCLKc<br />

tSCLKwh tSCLKwl<br />

tSCLKr tSCLKf<br />

Figure 66. MSHC_CLK Timing Diagram<br />

tSCLKc<br />

tBSsu tBSh<br />

tDsu tDh<br />

Figure 67. Transfer Operation Timing Diagram (Serial)<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

84 Freescale Semiconductor<br />

tDd<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MSHC_SCLK<br />

Figure 68. Transfer Operation Timing Diagram (Parallel)<br />

NOTE<br />

The Memory Stick Host Controller is designed to meet the timing<br />

requirements per Sony's Memory Stick Pro Format Specifications document.<br />

Tables in this section details the specifications requirements for parallel <strong>and</strong><br />

serial modes, <strong>and</strong> not the MCI<strong>MX31</strong> timing.<br />

Table 52. Serial Interface Timing Parameters 1<br />

Signal Parameter Symbol<br />

MSHC_SCLK<br />

MSHC_BS<br />

MSHC_BS<br />

MSHC_DATA<br />

MSHC_DATA<br />

(Output)<br />

MSHC_DATA<br />

(Intput)<br />

tSCLKc<br />

tBSsu tBSh<br />

tDsu tDh<br />

tDd<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

St<strong>and</strong>ards<br />

Min. Max.<br />

Electrical Characteristics<br />

Cycle tSCLKc 50 — ns<br />

H pulse length tSCLKwh 15 — ns<br />

L pulse length tSCLKwl 15 — ns<br />

Rise time tSCLKr — 10 ns<br />

Fall time tSCLKf — 10 ns<br />

Setup time tBSsu 5 — ns<br />

Hold time tBSh 5 — ns<br />

Setup time tDsu 5 — ns<br />

Hold time tDh 5 — ns<br />

Output delay time tDd — 15 ns<br />

1 Timing is guaranteed for NVCC from 2.7 through 3.1 V <strong>and</strong> up to a maximum overdrive NVCC of 3.3 V. See<br />

NVCC restrictions described in Table 8, "Operating Ranges," on page 13.<br />

Freescale Semiconductor 85<br />

Unit<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

Table 53. Parallel Interface Timing Parameters 1<br />

Signal Parameter Symbol<br />

MSHC_SCLK<br />

MSHC_BS<br />

MSHC_DATA<br />

1 Timing is guaranteed for NVCC from 2.7 through 3.1 V <strong>and</strong> up to a maximum overdrive NVCC of 3.3 V. See NVCC restrictions<br />

described in Table 8, "Operating Ranges," on page 13.<br />

4.3.17 Personal Computer Memory Card International Association<br />

(PCMCIA)<br />

Figure 69 <strong>and</strong> Figure 70 depict the timings pertaining to the PCMCIA module, each of which is an<br />

example of one clock of strobe set-up time <strong>and</strong> one clock of strobe hold time. Table 54 lists the timing<br />

parameters.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

St<strong>and</strong>ards<br />

Min Max<br />

Cycle tSCLKc 25 — ns<br />

H pulse length tSCLKwh 5 — ns<br />

L pulse length tSCLKwl 5 — ns<br />

Rise time tSCLKr — 10 ns<br />

Fall time tSCLKf — 10 ns<br />

Setup time tBSsu 8 — ns<br />

Hold time tBSh 1 — ns<br />

Setup time tDsu 8 — ns<br />

Hold time tDh 1 — ns<br />

Output delay time tDd — 15 ns<br />

86 Freescale Semiconductor<br />

Unit<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


HCLK<br />

HADDR<br />

CONTROL<br />

ADDR 1<br />

CONTROL 1<br />

HWDATA DATA write 1<br />

HREADY<br />

HRESP OKAY OKAY OKAY<br />

A[25:0] ADDR 1<br />

D[15:0] DATA write 1<br />

WAIT<br />

OE/WE/IORD/IOWR<br />

REG REG<br />

CE1/CE2<br />

RW<br />

POE<br />

Figure 69. Write Accesses Timing Diagram—PSHT=1, PSST=1<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Freescale Semiconductor 87<br />

PSST<br />

PSL<br />

PSHT<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

HCLK<br />

HADDR<br />

CONTROL<br />

ADDR 1<br />

CONTROL 1<br />

RWDATA DATA read 1<br />

HREADY<br />

HRESP OKAY OKAY OKAY<br />

A[25:0] ADDR 1<br />

D[15:0]<br />

WAIT<br />

OE/WE/IORD/IOWR<br />

REG REG<br />

CE1/CE2<br />

RW<br />

POE<br />

Figure 70. Read Accesses Timing Diagram—PSHT=1, PSST=1<br />

Table 54. PCMCIA Write <strong>and</strong> Read Timing Parameters<br />

Symbol Parameter Min Max Unit<br />

PSHT PCMCIA strobe hold time 0 63 clock<br />

PSST PCMCIA strobe set up time 1 63 clock<br />

PSL PCMCIA strobe length 1 128 clock<br />

4.3.18 PWM Electrical Specifications<br />

PSST PSL<br />

PSHT<br />

This section describes the electrical information of the PWM. The PWM can be programmed to select one<br />

of three clock signals as its source frequency. The selected clock signal is passed through a prescaler before<br />

being input to the counter. The output is available at the pulse-width modulator output (PWMO) external<br />

pin.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

88 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


4.3.18.1 PWM Timing<br />

Figure 71 depicts the timing of the PWM, <strong>and</strong> Table 55 lists the PWM timing characteristics.<br />

System Clock<br />

PWM Output<br />

Figure 71. PWM Timing<br />

4.3.19 SDHC Electrical Specifications<br />

This section describes the electrical information of the SDHC.<br />

4.3.19.1 SDHC Timing<br />

Table 55. PWM Output Timing Parameters<br />

ID Parameter Min Max Unit<br />

1 System CLK frequency 1<br />

1 CL of PWMO = 30 pF<br />

4a<br />

2a<br />

0 ipg_clk MHz<br />

2a Clock high time 12.29 — ns<br />

2b Clock low time 9.91 — ns<br />

3a Clock fall time — 0.5 ns<br />

3b Clock rise time — 0.5 ns<br />

4a Output delay time — 9.37 ns<br />

4b Output setup time 8.71 — ns<br />

Figure 72 depicts the timings of the SDHC, <strong>and</strong> Table 56 lists the timing parameters.<br />

2b<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Freescale Semiconductor 89<br />

3a<br />

1<br />

3b<br />

4b<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.20 SIM Electrical Specifications<br />

Figure 72. SDHC Timing Diagram<br />

Table 56. SDHC Interface Timing Parameters<br />

ID Parameter Symbol Min Max Unit<br />

Card Input Clock<br />

CLK<br />

CMD<br />

DATA[3:0]<br />

CMD<br />

DATA[3:0]<br />

SD6<br />

SD7<br />

SD1 Clock Frequency (Low Speed) f PP 1<br />

Clock Frequency (SD/SDIO Full Speed) f PP 2<br />

Clock Frequency (MMC Full Speed) f PP 3<br />

Clock Frequency (Identification Mode) f OD 4<br />

1 In low speed mode, card clock must be lower than 400 kHz, voltage ranges from 2.7 V–3.3 V.<br />

2<br />

In normal data transfer mode for SD/SDIO card, clock frequency can be any value between 0 MHz–25 MHz.<br />

3<br />

In normal data transfer mode for MMC card, clock frequency can be any value between 0 MHz–20 MHz.<br />

4 In card identification mode, card clock must be 100 kHz–400 kHz, voltage ranges from 2.7 V–3.3 V.<br />

SD8<br />

Each SIM card interface consist of a total of 12 pins (for 2 separate ports of 6 pins each. Mostly one port<br />

with 5 pins is used).<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

0 400 kHz<br />

0 25 MHz<br />

0 20 MHz<br />

100 400 kHz<br />

SD2 Clock Low Time t WL 10 — ns<br />

SD3 Clock High Time t WH 10 — ns<br />

SD4 Clock Rise Time t TLH — 10 ns<br />

SD5 Clock Fall Time t THL — 10 ns<br />

SDHC Output/Card Inputs CMD, DAT (Reference to CLK)<br />

SD6 SDHC output delay t ODL –6.5 3 ns<br />

SDHC Input/Card Outputs CMD, DAT (Reference to CLK)<br />

SD5<br />

SD7 SDHC input setup t IS — 18.5 ns<br />

SD8 SDHC input hold t IH — –11.5 ns<br />

90 Freescale Semiconductor<br />

SD1<br />

Output from SDHC to card<br />

Input to SDHC<br />

SD3<br />

SD2<br />

SD4<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

The interface is meant to be used with synchronous SIM cards. This means that the SIM module provides<br />

a clock for the SIM card to use. The frequency of this clock is normally 372 times the data rate on the<br />

TX/RX pins, however SIM module can work with CLK equal to 16 times the data rate on TX/RX pins.<br />

There is no timing relationship between the clock <strong>and</strong> the data. The clock that the SIM module provides<br />

to the aim card will be used by the SIM card to recover the clock from the data much like a st<strong>and</strong>ard UART.<br />

All six (or 5 in case bi-directional TXRX is used) of the pins for each half of the SIM module are<br />

asynchronous to each other.<br />

There are no required timing relationships between the signals in normal mode, but there are some in two<br />

specific cases: reset <strong>and</strong> power down sequences.<br />

4.3.20.1 General Timing Requirements<br />

Figure 73 shows the timing of the SIM module, <strong>and</strong> Figure 57 lists the timing parameters.<br />

CLK<br />

4.3.20.2 Reset Sequence<br />

Sfall<br />

Srise<br />

Figure 73. SIM Clock Timing Diagram<br />

Table 57. SIM Timing Specification—High Drive Strength<br />

Num Description Symbol Min Max Unit<br />

1 SIM Clock Frequency (CLK) 1<br />

2 SIM CLK Rise Time 2<br />

3 SIM CLK Fall Time 3<br />

1<br />

50% duty cycle clock<br />

2 With C = 50pF<br />

3 With C = 50pF<br />

1/Sfreq<br />

S freq 0.01 5 (Some new cards<br />

may reach 10)<br />

4.3.20.2.1 Cards with Internal Reset<br />

The sequence of reset for this kind of SIM Cards is as follows (see Figure 74):<br />

After powerup, the clock signal is enabled on SGCLK (time T0)<br />

After 200 clock cycles, RX must be high.<br />

The card must send a response on RX acknowledging the reset between 400 <strong>and</strong> 40000 clock cycles<br />

after T0.<br />

Freescale Semiconductor 91<br />

MHz<br />

S rise — 20 ns<br />

S fall — 20 ns<br />

4 SIM Input Transition Time (RX, SIMPD) S trans — 25 ns<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

SVEN<br />

CLK<br />

RX<br />

Figure 74. Internal-Reset Card Reset Sequence<br />

4.3.20.2.2 Cards with Active Low Reset<br />

The sequence of reset for this kind of card is as follows (see Figure 75):<br />

1. After powerup, the clock signal is enabled on CLK (time T0)<br />

2. After 200 clock cycles, RX must be high.<br />

3. RST must remain Low for at least 40000 clock cycles after T0 (no response is to be received on<br />

RX during those 40000 clock cycles)<br />

4. RST is set High (time T1)<br />

5. RST must remain High for at least 40000 clock cycles after T1 <strong>and</strong> a response must be received<br />

on RX between 400 <strong>and</strong> 40000 clock cycles after T1.<br />

SVEN<br />

RST<br />

CLK<br />

RX<br />

T0<br />

T0<br />

1<br />

1<br />

2<br />

3<br />

response<br />

T1<br />

400 clock cycles <<br />

Figure 75. Active-Low-Reset Card Reset Sequence<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

92 Freescale Semiconductor<br />

2<br />

400 clock cycles <<br />

400000 clock cycles <<br />

3<br />

1<br />

2<br />

1<br />

2<br />

3<br />

< 200 clock cycles<br />

< 40000 clock cycles<br />

response<br />

< 200 clock cycles<br />

< 40000 clock cycles<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


4.3.20.3 Power Down Sequence<br />

Power down sequence for SIM interface is as follows:<br />

1. SIMPD port detects the removal of the SIM Card<br />

2. RST goes Low<br />

3. CLK goes Low<br />

4. TX goes Low<br />

5. VEN goes Low<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Each of this steps is done in one CKIL period (usually 32 kHz). Power down can be started because of a<br />

SIM Card removal detection or launched by the processor. Figure 76 <strong>and</strong> Table 58 show the usual timing<br />

requirements for this sequence, with Fckil = CKIL frequency value.<br />

SIMPD<br />

RST<br />

CLK<br />

DATA_TX<br />

SVEN<br />

Spd2rst<br />

Srst2clk<br />

Srst2dat<br />

Srst2ven<br />

Figure 76. SmartCard Interface Power Down AC Timing<br />

Table 58. Timing Requirements for Power Down Sequence<br />

Num Description Symbol Min Max Unit<br />

1 SIM reset to SIM clock stop S rst2clk 0.9*1/FCKIL 0.8 µs<br />

2 SIM reset to SIM TX data low S rst2dat 1.8*1/FCKIL 1.2 µs<br />

3 SIM reset to SIM Voltage Enable Low S rst2ven 2.7*1/FCKIL 1.8 µs<br />

4 SIM Presence Detect to SIM reset Low S pd2rst 0.9*1/FCKIL 25 ns<br />

Freescale Semiconductor 93<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

4.3.21 SJC Electrical Specifications<br />

This section details the electrical characteristics for the SJC module. Figure 77 depicts the SJC test clock<br />

input timing. Figure 78 depicts the SJC boundary scan timing, Figure 79 depicts the SJC test access port,<br />

Figure 80 depicts the SJC TRST timing, <strong>and</strong> Table 59 lists the SJC timing parameters.<br />

TCK<br />

SJ2 SJ2<br />

(Input) VIH<br />

VM VM<br />

VIL<br />

TCK<br />

(Input)<br />

<strong>Data</strong><br />

Inputs<br />

<strong>Data</strong><br />

Outputs<br />

<strong>Data</strong><br />

Outputs<br />

<strong>Data</strong><br />

Outputs<br />

SJ3<br />

VIL<br />

Figure 77. Test Clock Input Timing Diagram<br />

SJ6<br />

SJ7<br />

SJ6<br />

Figure 78. Boundary Scan (JTAG) Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

94 Freescale Semiconductor<br />

SJ1<br />

SJ3<br />

Input <strong>Data</strong> Valid<br />

Output <strong>Data</strong> Valid<br />

Output <strong>Data</strong> Valid<br />

VIH<br />

SJ4 SJ5<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


TRST<br />

(Input)<br />

TCK<br />

(Input)<br />

TDI<br />

TMS<br />

(Input)<br />

TDO<br />

(Output)<br />

TDO<br />

(Output)<br />

TDO<br />

(Output)<br />

TCK<br />

(Input)<br />

VIL<br />

ID Parameter<br />

Figure 79. Test Access Port Timing Diagram<br />

SJ12<br />

SJ13<br />

SJ10<br />

SJ11<br />

SJ10<br />

Input <strong>Data</strong> Valid<br />

Output <strong>Data</strong> Valid<br />

Output <strong>Data</strong> Valid<br />

Figure 80. TRST Timing Diagram<br />

Table 59. SJC Timing Parameters<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

All Frequencies<br />

Min Max<br />

Electrical Characteristics<br />

SJ1 TCK cycle time 100 1 — ns<br />

SJ2 TCK clock pulse width measured at V M 2 40 — ns<br />

SJ3 TCK rise <strong>and</strong> fall times — 3 ns<br />

SJ4 Boundary scan input data set-up time 10 — ns<br />

SJ5 Boundary scan input data hold time 50 — ns<br />

SJ6 TCK low to output data valid — 50 ns<br />

SJ7 TCK low to output high impedance — 50 ns<br />

SJ8 TMS, TDI data set-up time 10 — ns<br />

SJ9 TMS, TDI data hold time 50 — ns<br />

SJ10 TCK low to TDO data valid — 44 ns<br />

Freescale Semiconductor 95<br />

VIH<br />

SJ8 SJ9<br />

Unit<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

ID Parameter<br />

4.3.22 SSI Electrical Specifications<br />

Table 59. SJC Timing Parameters (continued)<br />

SJ11 TCK low to TDO high impedance — 44 ns<br />

SJ12 TRST assert time 100 — ns<br />

SJ13 TRST set-up time to TCK low 40 — ns<br />

1 On cases where SDMA TAP is put in the chain, the max TCK frequency is limited by max ratio of 1:8 of SDMA core frequency<br />

to TCK limitation. This implies max frequency of 8.25 MHz (or 121.2 ns) for 66 MHz IPG clock.<br />

2 VM - mid point voltage<br />

This section describes the electrical information of SSI. Note the following pertaining to timing<br />

information:<br />

All the timings for the SSI are given for a non-inverted serial clock polarity (TSCKP/RSCKP = 0)<br />

<strong>and</strong> a non-inverted frame sync (TFSI/RFSI = 0). If the polarity of the clock <strong>and</strong>/or the frame sync<br />

have been inverted, all the timing remains valid by inverting the clock signal STCK/SRCK <strong>and</strong>/or<br />

the frame sync STFS/SRFS shown in the tables <strong>and</strong> in the figures.<br />

All timings are on AUDMUX signals when SSI is being used for data transfer.<br />

“Tx” <strong>and</strong> “Rx” refer to the Transmit <strong>and</strong> Receive sections of the SSI.<br />

For internal Frame Sync operation using external clock, the FS timing will be same as that of Tx<br />

<strong>Data</strong> (for example, during AC97 mode of operation).<br />

4.3.22.1 SSI Transmitter Timing with Internal Clock<br />

Figure 81 depicts the SSI transmitter timing with internal clock, <strong>and</strong> Table 60 lists the timing parameters.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

All Frequencies<br />

Min Max<br />

96 Freescale Semiconductor<br />

Unit<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


AD1_TXC<br />

(Output)<br />

AD1_TXFS (bl)<br />

(Output)<br />

AD1_TXFS (wl)<br />

(Output)<br />

AD1_TXD<br />

(Output)<br />

AD1_RXD<br />

(Input)<br />

SS1<br />

SS2 SS4<br />

SS6<br />

SS8<br />

Figure 81. SSI Transmitter with Internal Clock Timing Diagram<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Freescale Semiconductor 97<br />

SS5<br />

SS3<br />

SS10 SS12<br />

SS16<br />

Note: SRXD Input in Synchronous mode only<br />

DAM1_T_CLK<br />

(Output)<br />

DAM1_T_FS (bl)<br />

(Output)<br />

DAM1_T_FS (wl)<br />

(Output)<br />

DAM1_TXD<br />

(Output)<br />

DAM1_RXD<br />

(Input)<br />

SS1<br />

SS42<br />

SS43<br />

SS2 SS4<br />

SS6<br />

SS8<br />

SS5<br />

SS14<br />

SS17<br />

SS15<br />

SS3<br />

SS19<br />

SS19<br />

SS18<br />

SS10 SS12<br />

SS16<br />

Note: SRXD Input in Synchronous mode only<br />

SS43<br />

SS42<br />

SS14<br />

SS17<br />

SS15<br />

SS18<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

Table 60. SSI Transmitter with Internal Clock Timing Parameters<br />

ID Parameter Min Max Unit<br />

Internal Clock Operation<br />

SS1 (Tx/Rx) CK clock period 81.4 — ns<br />

SS2 (Tx/Rx) CK clock high period 36.0 — ns<br />

SS3 (Tx/Rx) CK clock rise time — 6 ns<br />

SS4 (Tx/Rx) CK clock low period 36.0 — ns<br />

SS5 (Tx/Rx) CK clock fall time — 6 ns<br />

SS6 (Tx) CK high to FS (bl) high — 15.0 ns<br />

SS8 (Tx) CK high to FS (bl) low — 15.0 ns<br />

SS10 (Tx) CK high to FS (wl) high — 15.0 ns<br />

SS12 (Tx) CK high to FS (wl) low — 15.0 ns<br />

SS14 (Tx/Rx) Internal FS rise time — 6 ns<br />

SS15 (Tx/Rx) Internal FS fall time — 6 ns<br />

SS16 (Tx) CK high to STXD valid from high impedance — 15.0 ns<br />

SS17 (Tx) CK high to STXD high/low — 15.0 ns<br />

SS18 (Tx) CK high to STXD high impedance — 15.0 ns<br />

SS19 STXD rise/fall time — 6 ns<br />

Synchronous Internal Clock Operation<br />

SS42 SRXD setup before (Tx) CK falling 10.0 — ns<br />

SS43 SRXD hold after (Tx) CK falling 0 — ns<br />

SS52 Loading — 25 pF<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

98 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


4.3.22.2 SSI Receiver Timing with Internal Clock<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Figure 82 depicts the SSI receiver timing with internal clock, <strong>and</strong> Table 61 lists the timing parameters.<br />

AD1_TXC<br />

(Output)<br />

AD1_TXFS (bl)<br />

(Output)<br />

AD1_TXFS (wl)<br />

(Output)<br />

AD1_RXD<br />

(Input)<br />

AD1_RXC<br />

(Output)<br />

DAM1_T_CLK<br />

(Output)<br />

DAM1_T_FS (bl)<br />

(Output)<br />

DAM1_T_FS (wl)<br />

(Output)<br />

DAM1_RXD<br />

(Input)<br />

DAM1_R_CLK<br />

(Output)<br />

SS2<br />

SS7<br />

SS48<br />

SS2<br />

SS48<br />

SS1<br />

SS47<br />

SS1<br />

SS9<br />

SS20<br />

Figure 82. SSI Receiver with Internal Clock Timing Diagram<br />

Freescale Semiconductor 99<br />

SS5<br />

SS4<br />

SS11 SS13<br />

SS7 SS9<br />

SS47<br />

SS20<br />

SS51<br />

SS50<br />

SS5<br />

SS4<br />

SS51<br />

SS50<br />

SS21<br />

SS21<br />

SS3<br />

SS49<br />

SS3<br />

SS11 SS13<br />

SS49<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

Table 61. SSI Receiver with Internal Clock Timing Parameters<br />

ID Parameter Min Max Unit<br />

Internal Clock Operation<br />

SS1 (Tx/Rx) CK clock period 81.4 — ns<br />

SS2 (Tx/Rx) CK clock high period 36.0 — ns<br />

SS3 (Tx/Rx) CK clock rise time — 6 ns<br />

SS4 (Tx/Rx) CK clock low period 36.0 — ns<br />

SS5 (Tx/Rx) CK clock fall time — 6 ns<br />

SS7 (Rx) CK high to FS (bl) high — 15.0 ns<br />

SS9 (Rx) CK high to FS (bl) low — 15.0 ns<br />

SS11 (Rx) CK high to FS (wl) high — 15.0 ns<br />

SS13 (Rx) CK high to FS (wl) low — 15.0 ns<br />

SS20 SRXD setup time before (Rx) CK low 10.0 — ns<br />

SS21 SRXD hold time after (Rx) CK low 0 — ns<br />

Oversampling Clock Operation<br />

SS47 Oversampling clock period 15.04 — ns<br />

SS48 Oversampling clock high period 6 — ns<br />

SS49 Oversampling clock rise time — 3 ns<br />

SS50 Oversampling clock low period 6 — ns<br />

SS51 Oversampling clock fall time — 3 ns<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

100 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


4.3.22.3 SSI Transmitter Timing with External Clock<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Figure 83 depicts the SSI transmitter timing with external clock, <strong>and</strong> Table 62 lists the timing parameters.<br />

SS23<br />

AD1_TXC<br />

(Input)<br />

AD1_TXFS (bl)<br />

(Input)<br />

AD1_TXFS (wl)<br />

(Input)<br />

AD1_TXD<br />

(Output)<br />

AD1_RXD<br />

(Input)<br />

SS27<br />

SS22<br />

Note: SRXD Input in Synchronous mode only<br />

DAM1_T_CLK<br />

(Input)<br />

DAM1_T_FS (bl)<br />

(Input)<br />

SS23<br />

DAM1_T_FS (wl)<br />

(Input)<br />

DAM1_TXD<br />

(Output)<br />

DAM1_RXD<br />

(Input)<br />

SS27<br />

SS22<br />

Note: SRXD Input in Synchronous mode only<br />

SS29<br />

SS31<br />

SS37<br />

SS29<br />

SS31<br />

SS37<br />

SS44<br />

SS44<br />

Figure 83. SSI Transmitter with External Clock Timing Diagram<br />

Freescale Semiconductor 101<br />

SS25<br />

SS26<br />

SS26<br />

SS25<br />

SS38<br />

SS38<br />

SS45<br />

SS45<br />

SS24<br />

SS24<br />

SS46<br />

SS46<br />

SS39<br />

SS39<br />

SS33<br />

SS33<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

Table 62. SSI Transmitter with External Clock Timing Parameters<br />

ID Parameter Min Max Unit<br />

External Clock Operation<br />

SS22 (Tx/Rx) CK clock period 81.4 — ns<br />

SS23 (Tx/Rx) CK clock high period 36.0 — ns<br />

SS24 (Tx/Rx) CK clock rise time — 6.0 ns<br />

SS25 (Tx/Rx) CK clock low period 36.0 — ns<br />

SS26 (Tx/Rx) CK clock fall time — 6.0 ns<br />

SS27 (Tx) CK high to FS (bl) high –10.0 15.0 ns<br />

SS29 (Tx) CK high to FS (bl) low 10.0 — ns<br />

SS31 (Tx) CK high to FS (wl) high –10.0 15.0 ns<br />

SS33 (Tx) CK high to FS (wl) low 10.0 — ns<br />

SS37 (Tx) CK high to STXD valid from high impedance — 15.0 ns<br />

SS38 (Tx) CK high to STXD high/low — 15.0 ns<br />

SS39 (Tx) CK high to STXD high impedance — 15.0 ns<br />

Synchronous External Clock Operation<br />

SS44 SRXD setup before (Tx) CK falling 10.0 — ns<br />

SS45 SRXD hold after (Tx) CK falling 2.0 — ns<br />

SS46 SRXD rise/fall time — 6.0 ns<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

102 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


4.3.22.4 SSI Receiver Timing with External Clock<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Electrical Characteristics<br />

Figure 84 depicts the SSI receiver timing with external clock, <strong>and</strong> Table 63 lists the timing parameters.<br />

AD1_TXC<br />

(Input)<br />

SS23<br />

AD1_TXFS (bl)<br />

(Input)<br />

AD1_TXFS (wl)<br />

(Input)<br />

AD1_RXD<br />

(Input)<br />

DAM1_T_CLK<br />

(Input)<br />

SS23<br />

DAM1_T_FS (bl)<br />

(Input)<br />

DAM1_T_FS (wl)<br />

(Input)<br />

DAM1_RXD<br />

(Input)<br />

SS28<br />

SS28<br />

Figure 84. SSI Receiver with External Clock Timing Diagram<br />

Table 63. SSI Receiver with External Clock Timing Parameters<br />

ID Parameter Min Max Unit<br />

External Clock Operation<br />

SS22<br />

SS22<br />

SS30<br />

SS32<br />

SS35<br />

SS30<br />

SS32<br />

SS35<br />

SS40<br />

SS40<br />

SS22 (Tx/Rx) CK clock period 81.4 — ns<br />

SS23 (Tx/Rx) CK clock high period 36.0 — ns<br />

SS24 (Tx/Rx) CK clock rise time — 6.0 ns<br />

SS25 (Tx/Rx) CK clock low period 36.0 — ns<br />

SS26 (Tx/Rx) CK clock fall time — 6.0 ns<br />

Freescale Semiconductor 103<br />

SS26<br />

SS25<br />

SS26<br />

SS25<br />

SS41<br />

SS41<br />

SS24<br />

SS36<br />

SS24<br />

SS36<br />

SS34<br />

SS34<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Electrical Characteristics<br />

Table 63. SSI Receiver with External Clock Timing Parameters (continued)<br />

ID Parameter Min Max Unit<br />

SS28 (Rx) CK high to FS (bl) high –10.0 15.0 ns<br />

SS30 (Rx) CK high to FS (bl) low 10.0 — ns<br />

SS32 (Rx) CK high to FS (wl) high –10.0 15.0 ns<br />

SS34 (Rx) CK high to FS (wl) low 10.0 — ns<br />

SS35 (Tx/Rx) External FS rise time — 6.0 ns<br />

SS36 (Tx/Rx) External FS fall time — 6.0 ns<br />

SS40 SRXD setup time before (Rx) CK low 10.0 — ns<br />

SS41 SRXD hold time after (Rx) CK low 2.0 — ns<br />

4.3.23 USB Electrical Specifications<br />

This section describes the electrical information of the USBOTG port. The OTG port supports both serial<br />

<strong>and</strong> parallel interfaces.<br />

The high speed (HS) interface is supported via the ULPI (Ultra Low Pin Count Interface). Figure 85<br />

depicts the USB ULPI timing diagram, <strong>and</strong> Table 64 lists the timing parameters.<br />

Clock<br />

Control out (stp)<br />

<strong>Data</strong> out<br />

Control in (dir, nxt)<br />

<strong>Data</strong> in<br />

T SC<br />

T SD<br />

T HC<br />

T HD<br />

Figure 85. USB ULPI Interface Timing Diagram<br />

Table 64. USB ULPI Interface Timing Specification 1<br />

Parameter Symbol Min Max Units<br />

Setup time (control in, 8-bit data in) TSC, TSD 6 — ns<br />

Hold time (control in, 8-bit data in) THC, THD 0 — ns<br />

Output delay (control out, 8-bit data out) TDC, TDD — 9 ns<br />

1 Timing parameters are given as viewed by transceiver side.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

104 Freescale Semiconductor<br />

T DC<br />

T DD<br />

T DC<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


5 Package Information <strong>and</strong> Pinout<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Package Information <strong>and</strong> Pinout<br />

This section includes the contact assignment information <strong>and</strong> mechanical package drawing for the<br />

MCI<strong>MX31</strong>.<br />

5.1 MAPBGA Production Package—457 14 x 14 mm, 0.5 mm Pitch<br />

This section contains the outline drawing, signal assignment map (see Section 8, “Revision History,”<br />

Table 70 for the 0.5 mm 14 × 14 MAPBGA signal assignments), <strong>and</strong> MAPBGA ground/power ID by ball<br />

grid location for the 457 14 x 14 mm, 0.5 mm pitch package.<br />

5.1.1 Production Package Outline Drawing–14 x 14 mm 0.5 mm<br />

Figure 86. Production Package: Case 1581—0.5 mm Pitch<br />

Freescale Semiconductor 105<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Package Information <strong>and</strong> Pinout<br />

5.1.2 MAPBGA Signal Assignment–14 × 14 mm 0.5 mm<br />

See Section 8, “Revision History,” Figure 70 for the 0.5 mm 14 × 14 MAPBGA signal assignments.<br />

5.1.3 Connection Tables–14 x 14 mm 0.5 mm<br />

Table 65 shows the device connection list for power <strong>and</strong> ground, alpha-sorted. Table 66 shows the device<br />

connection list for signals.<br />

5.1.3.1 Ground <strong>and</strong> Power ID Locations–14 x 14 mm 0.5 mm<br />

Table 65. 14 x 14 MAPBGA Ground/Power ID by Ball Grid Location<br />

GND/PWR ID Ball Location<br />

FGND AB24<br />

FUSE_VDD AC24<br />

FVCC AA24<br />

GND A1, A2, A25, A26, B1, B2, B25, B26, C1, C2, C24, C25, C26, D1, D25, E22, E24, F21, L12, M11, M12, M13, M14,<br />

M15, M16, N12, N13, N14, N15, N16, P12, P13, P14, P15, P16, R12, R13, R14, R15, R16, T12, T13, V17, AC2,<br />

AC26, AD1, AD2, AD24, AD25, AD26, AE1, AE2, AE24, AE25, AE26, AF1, AF2, AF25, AF26<br />

IOQVDD Y6<br />

MGND T15<br />

MVCC V15<br />

NVCC1 G19, G21, K18<br />

NVCC2 Y17, Y18, Y19, Y20<br />

NVCC3 L9, M9, N11<br />

NVCC4 L18, L19<br />

NVCC5 E5, F6, G7<br />

NVCC6 J15, J16, K15<br />

NVCC7 N18, P18, R18, T18<br />

NVCC8 J12, J13<br />

NVCC9 J17<br />

NVCC10 P9, P11, R11, T11<br />

NVCC21 Y14, Y15, Y16<br />

NVCC22 W7, Y7, Y8, Y9, Y10, Y11, Y12, Y13, AA6<br />

QVCC J14, L13, L14, L15, L16, M18, U18, V10, V11, V12, V13<br />

QVCC1 J10, J11, K9, L11<br />

QVCC4 N9, R9, T9, U9<br />

SGND T14<br />

SVCC V14<br />

UVCC V16<br />

UGND T16<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

106 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


5.1.3.2 BGA Signal ID by Ball Grid Location–14 x 14 0.5 mm<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Package Information <strong>and</strong> Pinout<br />

Table 66 shows the device connection list for signals only, alpha-sorted by signal identification.<br />

Table 66. 14 x 14 BGA Signal ID by Ball Grid Location<br />

Signal ID Ball Location Signal ID Ball Location<br />

A0 AD6 CKIL H21<br />

A1 AF5 CLKO C23<br />

A10 AF18 CLKSS G26<br />

A11 AC3 COMPARE G18<br />

A12 AD3 CONTRAST R24<br />

A13 AD4 CS0 AE23<br />

A14 AF17 CS1 AF23<br />

A15 AF16 CS2 AE21<br />

A16 AF15 CS3 AD22<br />

A17 AF14 CS4 AF24<br />

A18 AF13 CS5 AF22<br />

A19 AF12 CSI_D10 M24<br />

A2 AB5 CSI_D11 L26<br />

A20 AF11 CSI_D12 M21<br />

A21 AF10 CSI_D13 M25<br />

A22 AF9 CSI_D14 M20<br />

A23 AF8 CSI_D15 M26<br />

A24 AF7 CSI_D4 L21<br />

A25 AF6 CSI_D5 K25<br />

A3 AE4 CSI_D6 L24<br />

A4 AA3 CSI_D7 K26<br />

A5 AF4 CSI_D8 L20<br />

A6 AB3 CSI_D9 L25<br />

A7 AE3 CSI_HSYNC K20<br />

A8 AD5 CSI_MCLK K24<br />

A9 AF3 CSI_PIXCLK J26<br />

ATA_CS0 J6 CSI_VSYNC J25<br />

ATA_CS1 F2 CSPI1_MISO P7<br />

ATA_DIOR E2 CSPI1_MOSI P2<br />

ATA_DIOW H6 CSPI1_SCLK N2<br />

ATA_DMACK F1 CSPI1_SPI_RDY N3<br />

ATA_RESET H3 CSPI1_SS0 P3<br />

BATT_LINE F7 CSPI1_SS1 P1<br />

BCLK AB26 CSPI1_SS2 P6<br />

BOOT_MODE0 F20 CSPI2_MISO A4<br />

BOOT_MODE1 C21 CSPI2_MOSI E3<br />

BOOT_MODE2 D24 CSPI2_SCLK C7<br />

BOOT_MODE3 C22 CSPI2_SPI_RDY B6<br />

BOOT_MODE4 D26 CSPI2_SS0 B5<br />

CAPTURE A22 CSPI2_SS1 C6<br />

CAS AD20 CSPI2_SS2 A5<br />

CE_CONTROL A14 CSPI3_MISO G3<br />

CKIH F24 CSPI3_MOSI D2<br />

Freescale Semiconductor 107<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Package Information <strong>and</strong> Pinout<br />

Table 66. 14 x 14 BGA Signal ID by Ball Grid Location (continued)<br />

Signal ID Ball Location Signal ID Ball Location<br />

CSPI3_SCLK E1 GPIO1_3 F25<br />

CSPI3_SPI_RDY G6 GPIO1_4 F19<br />

CTS1 B11 GPIO1_5 (PWR RDY) B24<br />

CTS2 G13 GPIO1_6 A23<br />

D0 AB2 GPIO3_0 K21<br />

D1 Y3 GPIO3_1 H26<br />

D10 Y1 HSYNC N25<br />

D11 U7 I2C_CLK J24<br />

D12 W2 I2C_DAT H25<br />

D13 V3 IOIS16 J3<br />

D14 W1 KEY_COL0 C15<br />

D15 U6 KEY_COL1 B17<br />

D2 AB1 KEY_COL2 G15<br />

D3 W6 KEY_COL3 A17<br />

D3_CLS R20 KEY_COL4 C16<br />

D3_REV T26 KEY_COL5 B18<br />

D3_SPL U25 KEY_COL6 F15<br />

D4 AA2 KEY_COL7 A18<br />

D5 V7 KEY_ROW0 F13<br />

D6 AA1 KEY_ROW1 B15<br />

D7 W3 KEY_ROW2 C14<br />

D8 Y2 KEY_ROW3 A15<br />

D9 V6 KEY_ROW4 G14<br />

DCD_DCE1 B12 KEY_ROW5 B16<br />

DCD_DTE1 B13 KEY_ROW6 F14<br />

DE C18 KEY_ROW7 A16<br />

DQM0 AE19 L2PG See VPG1<br />

DQM1 AD19 LBA AE22<br />

DQM2 AA20 LCS0 P26<br />

DQM3 AE18 LCS1 P21<br />

DRDY0 N26 LD0 T24<br />

DSR_DCE1 A11 LD1 U26<br />

DSR_DTE1 A12 LD10 V24<br />

DTR_DCE1 C11 LD11 Y25<br />

DTR_DCE2 F12 LD12 Y26<br />

DTR_DTE1 C12 LD13 V21<br />

DVFS0 E25 LD14 AA25<br />

DVFS1 G24 LD15 W24<br />

EB0 W21 LD16 AA26<br />

EB1 Y24 LD17 V20<br />

ECB AD23 LD2 T21<br />

FPSHIFT N21 LD3 V25<br />

GPIO1_0 F18 LD4 T20<br />

GPIO1_1 B23 LD5 V26<br />

GPIO1_2 C20 LD6 U24<br />

LD7 W25 SCK6 T2<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

108 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 66. 14 x 14 BGA Signal ID by Ball Grid Location (continued)<br />

LD8 U21 SCLK0 B22<br />

LD9 W26 SD_D_CLK P24<br />

M_GRANT Y21 SD_D_I N20<br />

M_REQUEST AC25 SD_D_IO P25<br />

MA10 AC1 SD0 AD18<br />

MCUPG See VPG0 SD1 AE17<br />

NFALE V1 SD1_CLK M7<br />

NFCE T6 SD1_CMD L2<br />

NFCLE U3 SD1_DATA0 M6<br />

NFRB U1 SD1_DATA1 L1<br />

NFRE V2 SD1_DATA2 L3<br />

NFWE T7 SD1_DATA3 K2<br />

NFWP U2 SD10 AE15<br />

OE AB25 SD11 AE14<br />

PAR_RS R21 SD12 AD14<br />

PC_BVD1 H2 SD13 AA14<br />

PC_BVD2 K6 SD14 AE13<br />

PC_CD1 L7 SD15 AD13<br />

PC_CD2 K1 SD16 AA13<br />

PC_POE J7 SD17 AD12<br />

PC_PWRON K3 SD18 AA12<br />

PC_READY J2 SD19 AE11<br />

PC_RST H1 SD2 AA19<br />

PC_RW G2 SD20 AE10<br />

PC_VS1 J1 SD21 AA11<br />

PC_VS2 K7 SD22 AE9<br />

PC_WAIT L6 SD23 AA10<br />

POR H24 SD24 AE8<br />

POWER_FAIL E26 SD25 AD10<br />

PWMO G1 SD26 AE7<br />

RAS AF19 SD27 AA9<br />

READ P20 SD28 AA8<br />

RESET_IN J21 SD29 AD9<br />

RI_DCE1 F11 SD3 AA18<br />

RI_DTE1 G12 SD30 AE6<br />

RTCK C17 SD31 AA7<br />

RTS1 G11 SD4 AD17<br />

RTS2 B14 SD5 AA17<br />

RW AB22 SD6 AE16<br />

RXD1 A10 SD7 AA16<br />

RXD2 A13 SD8 AD15<br />

SCK3 R2 SD9 AA15<br />

SCK4 C4 SDBA0 AD7<br />

SCK5 D3 SDBA1 AE5<br />

SDCKE0 AD21 TRSTB B20<br />

SDCKE1 AF21 TTM_PAD U20<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Package Information <strong>and</strong> Pinout<br />

Signal ID Ball Location Signal ID Ball Location<br />

Freescale Semiconductor 109<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Package Information <strong>and</strong> Pinout<br />

Table 66. 14 x 14 BGA Signal ID by Ball Grid Location (continued)<br />

Signal ID Ball Location Signal ID Ball Location<br />

SDCLK AA21 TXD1 F10<br />

SDCLK AE20 TXD2 C13<br />

SDQS0 AD16 USB_BYP A9<br />

SDQS1 AE12 USB_OC C10<br />

SDQS2 AD11 USB_PWR B10<br />

SDQS3 AD8 USBH2_CLK N1<br />

SDWE AF20 USBH2_DATA0 M1<br />

SER_RS T25 USBH2_DATA1 M3<br />

SFS3 R6 USBH2_DIR N7<br />

SFS4 F3 USBH2_NXT N6<br />

SFS5 A3 USBH2_STP M2<br />

SFS6 T3 USBOTG_CLK G10<br />

SIMPD0 G17 USBOTG_DATA0 F9<br />

SJC_MOD A20 USBOTG_DATA1 B8<br />

SRST0 C19 USBOTG_DATA2 G9<br />

SRX0 B21 USBOTG_DATA3 A7<br />

SRXD3 R3 USBOTG_DATA4 C8<br />

SRXD4 C3 USBOTG_DATA5 B7<br />

SRXD5 B4 USBOTG_DATA6 F8<br />

SRXD6 R7 USBOTG_DATA7 A6<br />

STX0 F17 USBOTG_DIR B9<br />

STXD3 R1 USBOTG_NXT A8<br />

STXD4 B3 USBOTG_STP C9<br />

STXD5 C5 VPG0 G25<br />

STXD6 T1 VPG1 J20<br />

SVEN0 A21 VSTBY F26<br />

TCK B19 VSYNC0 N24<br />

TDI F16 VSYNC3 R26<br />

TDO A19 WATCHDOG_RST A24<br />

TMS G16 WRITE R25<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

110 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Package Information <strong>and</strong> Pinout<br />

5.2 MAPBGA Production Package—473 19 x 19 mm, 0.8 mm Pitch<br />

This section contains the outline drawing, signal assignment map (see Section 8, “Revision History,”<br />

Table 71 for the 19 x 19 mm, 0.8 mm pitch signal assignments), <strong>and</strong> MAPBGA ground/power ID by ball<br />

grid location for the 473 19 x 19 mm, 0.8 mm pitch package.<br />

5.2.1 Production Package Outline Drawing–19 x 19 mm 0.8 mm<br />

Figure 87. Production Package: Case 1931—0.8 mm Pitch<br />

Freescale Semiconductor 111<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Package Information <strong>and</strong> Pinout<br />

5.2.2 MAPBGA Signal Assignment–19 × 19 mm 0.8 mm<br />

See Table 71 for the 19 × 19 mm, 0.8 mm pitch signal assignments/ball map.<br />

5.2.3 Connection Tables–19 x 19 mm 0.8 mm<br />

Table 67 shows the device connection list for power <strong>and</strong> ground, alpha-sorted followed by Table 68, which<br />

shows the no-connects. Table 69 shows the device connection list for signals.<br />

5.2.3.1 Ground <strong>and</strong> Power ID Locations—19 x 19 mm 0.8 mm<br />

Table 67. 19 x 19 BGA Ground/Power ID by Ball Grid Location<br />

GND/PWR ID Ball Location<br />

FGND U16<br />

FUSE_VDD T15<br />

FVCC T16<br />

GND A1, A2, A3, A21, A22, A23, B1, B2, B22, B23, C1, C2, C22, C23, D22, D23, J12, J13, K10, K11, K12, K13, K14,<br />

L10, L11, L12, L13, L14, M9, M10, M11, M12, M13, M14, N10, N11, N12, N13, N14, P10, P11, P12, P13, P14,<br />

R12, Y1, Y23, AA1, AA2, AA22, AA23, AB1, AB2, AB21, AB22, AB23, AC1, AC2, AC21, AC22, AC23<br />

IOQVDD T8<br />

MGND U14<br />

MVCC U15<br />

NVCC1 G15, G16, H16, J17<br />

NVCC2 N16, P16, R15, R16, T14<br />

NVCC3 K7, K8, L7, L8<br />

NVCC4 H14, J15, K15<br />

NVCC5 G9, G10, H8, H9<br />

NVCC6 G11, G12, G13, H12<br />

NVCC7 H15, J16, K16, L16, M16<br />

NVCC8 H10, H11, J11<br />

NVCC9 G14<br />

NVCC10 P8, R7, R8, R9, T9<br />

NVCC21 T11, T12, T13, U11<br />

NVCC22 T10, U7, U8, U9, U10, V6, V7, V8, V9, V10<br />

QVCC H13, J14, L15, M15, N9, N15, P9, P15, R10, R11, R13, R14<br />

QVCC1 J8, J9, J10, K9<br />

QVCC4 L9, M7, M8, N8<br />

SGND U13<br />

SVCC U12<br />

UVCC P18<br />

UGND P17<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

112 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 68. 19 x 19 BGA No Connects 1<br />

Signal Ball Location<br />

NC N7<br />

NC P7<br />

NC U21<br />

1 These contacts are not used <strong>and</strong> must be floated by the user.<br />

5.2.3.2 BGA Signal ID by Ball Grid Location—19 x 19 0.8 mm<br />

Table 69. 19 x 19 BGA Signal ID by Ball Grid Location<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Package Information <strong>and</strong> Pinout<br />

Signal ID Ball Location Signal ID Ball Location<br />

A0 Y6 CKIL E21<br />

A1 AC5 CLKO C20<br />

A10 V15 CLKSS H17<br />

A11 AB3 COMPARE A20<br />

A12 AA3 CONTRAST N21<br />

A13 Y3 CS0 U17<br />

A14 Y15 CS1 Y22<br />

A15 Y14 CS2 Y18<br />

A16 V14 CS3 Y19<br />

A17 Y13 CS4 Y20<br />

A18 V13 CS5 AA21<br />

A19 Y12 CSI_D10 K21<br />

A2 AB5 CSI_D11 K22<br />

A20 V12 CSI_D12 K23<br />

A21 Y11 CSI_D13 L20<br />

A22 V11 CSI_D14 L18<br />

A23 Y10 CSI_D15 L21<br />

A24 Y9 CSI_D4 J20<br />

A25 Y8 CSI_D5 J21<br />

A3 AA5 CSI_D6 L17<br />

A4 Y5 CSI_D7 J22<br />

A5 AC4 CSI_D8 J23<br />

A6 AB4 CSI_D9 K20<br />

A7 AA4 CSI_HSYNC H22<br />

A8 Y4 CSI_MCLK H20<br />

A9 AC3 CSI_PIXCLK H23<br />

ATA_CS0 E1 CSI_VSYNC H21<br />

ATA_CS1 G4 CSPI1_MISO N2<br />

ATA_DIOR E3 CSPI1_MOSI N1<br />

ATA_DIOW H6 CSPI1_SCLK M4<br />

ATA_DMACK E2 CSPI1_SPI_RDY M1<br />

ATA_RESET F3 CSPI1_SS0 M2<br />

BATT_LINE F6 CSPI1_SS1 N6<br />

BCLK W20 CSPI1_SS2 M3<br />

BOOT_MODE0 F17 CSPI2_MISO B4<br />

BOOT_MODE1 C21 CSPI2_MOSI D5<br />

Freescale Semiconductor 113<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Package Information <strong>and</strong> Pinout<br />

Table 69. 19 x 19 BGA Signal ID by Ball Grid Location (continued)<br />

Signal ID Ball Location Signal ID Ball Location<br />

BOOT_MODE2 D20 CSPI2_SCLK B5<br />

BOOT_MODE3 F18 CSPI2_SPI_RDY D6<br />

BOOT_MODE4 E20 CSPI2_SS0 C5<br />

CAPTURE D18 CSPI2_SS1 A4<br />

CAS AA20 CSPI2_SS2 F7<br />

CE_CONTROL D12 CSPI3_MISO D2<br />

CKIH F23 CSPI3_MOSI E4<br />

CSPI3_SCLK H7 GPIO1_3 G20<br />

CSPI3_SPI_RDY F4 GPIO1_4 D21<br />

CTS1 A9 GPIO1_5 (PWR RDY) D19<br />

CTS2 C12 GPIO1_6 G18<br />

D0 U6 GPIO3_0 G23<br />

D1 W4 GPIO3_1 K17<br />

D10 V1 HSYNC L23<br />

D11 U4 I2C_CLK J18<br />

D12 U3 I2C_DAT K18<br />

D13 R6 IOIS16 J7<br />

D14 U2 KEY_COL0 A15<br />

D15 U1 KEY_COL1 B15<br />

D2 W3 KEY_COL2 D14<br />

D3 V4 KEY_COL3 C15<br />

D3_CLS P20 KEY_COL4 F13<br />

D3_REV P21 KEY_COL5 A16<br />

D3_SPL N17 KEY_COL6 B16<br />

D4 T7 KEY_COL7 A17<br />

D5 W2 KEY_ROW0 A13<br />

D6 V3 KEY_ROW1 B13<br />

D7 W1 KEY_ROW2 C13<br />

D8 T6 KEY_ROW3 A14<br />

D9 V2 KEY_ROW4 F12<br />

DCD_DCE1 C10 KEY_ROW5 D13<br />

DCD_DTE1 D11 KEY_ROW6 B14<br />

DE D16 KEY_ROW7 C14<br />

DQM0 AB19 L2PG See VPG1<br />

DQM1 Y16 LBA V17<br />

DQM2 AA18 LCS0 M22<br />

DQM3 AB18 LCS1 N23<br />

DRDY0 M17 LD0 R23<br />

DSR_DCE1 B10 LD1 R22<br />

DSR_DTE1 A11 LD10 U22<br />

DTR_DCE1 F10 LD11 R18<br />

DTR_DCE2 C11 LD12 U20<br />

DTR_DTE1 A10 LD13 V23<br />

DVFS0 E22 LD14 V22<br />

DVFS1 E23 LD15 V21<br />

EB0 W22 LD16 V20<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

114 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Table 69. 19 x 19 BGA Signal ID by Ball Grid Location (continued)<br />

EB1 W21 LD17 W23<br />

ECB Y21 LD2 R21<br />

FPSHIFT M23 LD3 R20<br />

GPIO1_0 C19 LD4 T23<br />

GPIO1_1 G17 LD5 T22<br />

GPIO1_2 B20 LD6 T21<br />

LD7 T20 SCK6 R2<br />

LD8 R17 SCLK0 B19<br />

LD9 U23 SD_D_CLK M21<br />

M_GRANT U18 SD_D_I M20<br />

M_REQUEST T17 SD_D_IO M18<br />

MA10 Y2 SD0 AC18<br />

MCUPG See VPG0 SD1 AA17<br />

NFALE T2 SD1_CLK K2<br />

NFCE R4 SD1_CMD K3<br />

NFCLE T1 SD1_DATA0 K4<br />

NFRB R3 SD1_DATA1 J1<br />

NFRE T4 SD1_DATA2 J2<br />

NFWE T3 SD1_DATA3 L6<br />

NFWP P6 SD10 AB14<br />

OE T18 SD11 AC14<br />

PAR_RS P22 SD12 AA13<br />

PC_BVD1 G2 SD13 AB13<br />

PC_BVD2 H4 SD14 AC13<br />

PC_CD1 J3 SD15 AA12<br />

PC_CD2 H1 SD16 AC12<br />

PC_POE J6 SD17 AA11<br />

PC_PWRON K6 SD18 AB11<br />

PC_READY H2 SD19 AC11<br />

PC_RST F1 SD2 AB17<br />

PC_RW G3 SD20 AA10<br />

PC_VS1 H3 SD21 AB10<br />

PC_VS2 G1 SD22 AC10<br />

PC_WAIT J4 SD23 AC9<br />

POR F21 SD24 AA9<br />

POWER_FAIL F20 SD25 AC8<br />

PWMO F2 SD26 AB8<br />

RAS AA19 SD27 AC7<br />

READ N18 SD28 AA8<br />

RESET_IN F22 SD29 AB7<br />

RI_DCE1 D10 SD3 AC17<br />

RI_DTE1 B11 SD30 AA7<br />

RTCK D15 SD31 AC6<br />

RTS1 B9 SD4 AA16<br />

RTS2 B12 SD5 AC16<br />

RW V18 SD6 AA15<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Package Information <strong>and</strong> Pinout<br />

Signal ID Ball Location Signal ID Ball Location<br />

Freescale Semiconductor 115<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Package Information <strong>and</strong> Pinout<br />

Table 69. 19 x 19 BGA Signal ID by Ball Grid Location (continued)<br />

Signal ID Ball Location Signal ID Ball Location<br />

RXD1 C9 SD7 AB15<br />

RXD2 A12 SD8 AC15<br />

SCK3 P1 SD9 AA14<br />

SCK4 G6 SDBA0 AA6<br />

SCK5 D4 SDBA1 Y7<br />

SDCKE0 Y17 TRSTB F15<br />

SDCKE1 V16 TXD1 D9<br />

SDCLK AC20 TXD2 F11<br />

SDCLK AC19 USB_BYP C8<br />

SDQS0 AB16 USB_OC B8<br />

SDQS1 AB12 USB_PWR A8<br />

SDQS2 AB9 USBH2_CLK L1<br />

SDQS3 AB6 USBH2_DATA0 M6<br />

SDWE AB20 USBH2_DATA1 K1<br />

SER_RS P23 USBH2_DIR L2<br />

SFS3 P2 USBH2_NXT L4<br />

SFS4 D3 USBH2_STP L3<br />

SFS5 G7 USBOTG_CLK D8<br />

SFS6 P4 USBOTG_DATA0 G8<br />

SIMPD0 B18 USBOTG_DATA1 C7<br />

SJC_MOD C17 USBOTG_DATA2 A6<br />

SRST0 C18 USBOTG_DATA3 F8<br />

SRX0 A19 USBOTG_DATA4 D7<br />

SRXD3 N3 USBOTG_DATA5 B6<br />

SRXD4 C3 USBOTG_DATA6 A5<br />

SRXD5 C4 USBOTG_DATA7 C6<br />

SRXD6 R1 USBOTG_DIR A7<br />

STX0 F16 USBOTG_NXT B7<br />

STXD3 N4 USBOTG_STP F9<br />

STXD4 B3 VPG0 G21<br />

STXD5 D1 VPG1 G22<br />

STXD6 P3 VSTBY H18<br />

SVEN0 D17 VSYNC0 L22<br />

TCK F14 VSYNC3 N20<br />

TDI A18 WATCHDOG_RST B21<br />

TDO B17 WRITE N22<br />

TMS C16<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

116 Freescale Semiconductor<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Freescale Semiconductor 117<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

5.3 Ball Maps<br />

Table 70. Ball Map—14 x 14 0.5 mm Pitch<br />

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26<br />

A GND GND SFS5 CSPI2 CSPI2_ USBOT USBOT USBOT USB_ RXD1 DSR_D DSR_D RXD2 CE_CO KEY_R KEY_R KEY_C KEY_C TDO SJC_M SVEN0 CAPTU GPIO1_ WATCH GND GND A<br />

_MISO SS2 G_DAT G_DAT G_NXT BYP<br />

CE1 TE1<br />

NTROL OW3 OW7 OL3 OL7<br />

OD<br />

RE 6 DOG_R<br />

A7 A3<br />

ST<br />

B GND GND STXD4 SRXD CSPI2_ CSPI2_ USBOT USBOT USBOT USB_P CTS1 DCD_D DCD_D RTS2 KEY_R KEY_R KEY_C KEY_C TCK TRSTB SRX0 SCLK0 GPIO1_ GPIO1_ GND GND B<br />

5 SS0 SPI_R G_DAT G_DAT G_DIR WR<br />

CE1 TE1<br />

OW1 OW5 OL1 OL5<br />

1 5<br />

DY A5 A1<br />

C GND GND SRXD4 SCK4 STXD5 CSPI2_ CSPI2_ USBOT USBOT USB_O DTR_D DTR_D TXD2 KEY_R KEY_C KEY_C RTCK DE SRST0 GPIO1 BOOT_ BOOT_ CLKO GND GND GND C<br />

SS1 SCLK G_DAT<br />

A4<br />

G_STP C CE1 TE1<br />

OW2 OL0 OL4<br />

_2 MODE1 MODE3<br />

D GND CSPI3_ SCK5 BOOT_ GND BOOT_ D<br />

MOSI<br />

MODE2 MODE4<br />

E CSPI3_ ATA_DI CSPI2_ NVCC5 GND GND DVFS0 POWER E<br />

SCLK OR MOSI<br />

_FAIL<br />

F ATA_D ATA_C SFS4 NVCC5 BATT_L USBOT USBOT TXD1 RI_DC DTR_D KEY_R KEY_R KEY_C TDI STX0 GPIO1 GPIO1 BOOT_ GND CKIH GPIO1_ VSTBY F<br />

MACK S1<br />

INE G_DAT G_DAT E1 CE2 OW0 OW6 OL6<br />

_0 _4 MODE<br />

3<br />

A6 A0<br />

0<br />

G PWMO PC_RW CSPI3_<br />

CSPI3_ NVCC5 USBOT USBOT RTS1 RI_DT CTS2 KEY_R KEY_C TMS SIMPD COMP NVCC1 NVCC1 DVFS1 VPG0 CLKSS G<br />

MISO<br />

SPI_R<br />

G_DAT G_CLK E1<br />

OW4 OL2<br />

0 ARE<br />

DY<br />

A2<br />

H PC_RS PC_BV ATA_R<br />

ATA_DI<br />

CKIL POR I2C_DA GPIO3_ H<br />

T D1 ESET<br />

OW<br />

T 1<br />

J PC_VS PC_RE IOIS16 ATA_C PC_PO<br />

QVCC1 QVCC1 NVCC8 NVCC8 QVCC NVCC6 NVCC6 NVCC9 VPG1 RESET_<br />

I2C_CL CSI_VS CSI_PIX J<br />

1 ADY<br />

S0 E<br />

IN<br />

K YNC CLK<br />

K PC_CD SD1_D PC_PW<br />

PC_BV PC_VS QVCC1 NVCC6 NVCC1 CSI_H GPIO3_<br />

CSI_MC CSI_D5 CSI_D7 K<br />

2 ATA3 RON<br />

D2 2<br />

SYNC 0<br />

LK<br />

L SD1_D SD1_C SD1_D<br />

PC_WA PC_CD NVCC3 QVCC1 GND QVCC QVCC QVCC QVCC NVCC4 NVCC4 CSI_D8 CSI_D4 CSI_D6 CSI_D9 CSI_D1 L<br />

ATA1 MD ATA2<br />

IT 1<br />

1<br />

M USBH2 USBH2 USBH2<br />

SD1_D SD1_C NVCC3 GND GND GND GND GND GND QVCC CSI_D1 CSI_D1<br />

CSI_D1 CSI_D1 CSI_D1 M<br />

_DATA0 _STP _DATA1<br />

ATA0 LK<br />

4 2<br />

0 3 5<br />

N USBH2 CSPI1_ CSPI1_<br />

USBH2 USBH2 QVCC4 NVCC3 GND GND GND GND GND NVCC7 SD_D_I FPSHIF<br />

VSYNC HSYNC DRDY0 N<br />

_CLK SCLK SPI_RD<br />

Y<br />

_NXT _DIR<br />

T<br />

0<br />

P CSPI1_ CSPI1_ CSPI1_<br />

CSPI1_ CSPI1_ NVCC1 NVCC1 GND GND GND GND GND NVCC7 READ LCS1 SD_D_ SD_D_I LCS0 P<br />

SS1 MOSI SS0<br />

SS2 MISO<br />

0<br />

0<br />

CLK O<br />

R STXD3 SCK3 SRXD3 SFS3 SRXD6 QVCC4 NVCC1 GND GND GND GND GND NVCC7 D3_CL PAR_RS CONTR WRITE VSYNC R<br />

0<br />

S<br />

AST<br />

3<br />

T STXD6 SCK6 SFS6 NFCE NFWE QVCC4 NVCC1 GND GND SGND MGND UGND NVCC7 LD4 LD2 LD0 SER_R D3_REV T<br />

0<br />

S<br />

U NFRB NFWP NFCLE D15 D11 QVCC4 QVCC TTM_P LD8<br />

AD<br />

LD6 D3_SPL LD1 U<br />

V NFALE NFRE D13 D9 D5 QVCC QVCC QVCC QVCC SVCC MVCC UVCC GND LD17 LD13 LD10 LD3 LD5 V<br />

W D14 D12 D7 D3 NVCC2<br />

2<br />

EB0 LD15 LD7 LD9 W<br />

Y D10 D8 D1 IOQVD NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 NVCC2 M_GRA<br />

EB1 LD11 LD12 Y<br />

D 2 2 2 2 2 2 2 1 1 1<br />

NT<br />

AA D6 D4 A4 NVCC2 SD31<br />

2<br />

SD28 SD27 SD23 SD21 SD18 SD16 SD13 SD9 SD7 SD5 SD3 SD2 DQM2 SDCLK FVCC LD14 LD16 AA<br />

AB D2 D0 A6 A2 RW FGND OE BCLK AB<br />

AC MA10 GND A11 FUSE_V M_REQ GND AC<br />

DD UEST<br />

AD GND GND A12 A13 A8 A0 SDBA0 SDQS3 SD29 SD25 SDQS2 SD17 SD15 SD12 SD8 SDQS0 SD4 SD0 DQM1 CAS SDCKE<br />

0<br />

CS3 ECB GND GND GND AD<br />

AE GND GND A7 A3 SDBA1 SD30 SD26 SD24 SD22 SD20 SD19 SDQS1 SD14 SD11 SD10 SD6 SD1 DQM3 DQM0 SDCLK CS2 LBA CS0 GND GND GND AE<br />

AF GND GND A9 A5 A1 A25 A24 A23 A22 A21 A20 A19 A18 A17 A16 A15 A14 A10 RAS SDWE SDCKE<br />

1<br />

CS5 CS1 CS4 GND GND AF<br />

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family<br />

Package Information <strong>and</strong> Pinout


118 Freescale Semiconductor<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Table 71. Ball Map—19 x 19 0.8 mm Pitch<br />

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23<br />

A GND GND GND<br />

CSPI2_<br />

SS1<br />

B GND GND STXD4 CSPI2_<br />

MISO<br />

USBOTG_<br />

DATA6<br />

CSPI2_<br />

SCLK<br />

C GND GND SRXD4 SRXD5 CSPI2_<br />

SS0<br />

D STXD5 CSPI3_<br />

MISO<br />

E ATA_<br />

CS0<br />

PC_<br />

F<br />

RST<br />

G PC_VS2<br />

H PC_CD2<br />

SD1_<br />

J<br />

DATA1<br />

K USBH2_<br />

DATA1<br />

L USBH2_<br />

CLK<br />

M CSPI1_S<br />

PI_RDY<br />

N CSPI1_<br />

MOSI<br />

ATA_<br />

DMACK<br />

SFS4 SCK5<br />

ATA_<br />

DIOR<br />

CSPI3_<br />

MOSI<br />

PWMO ATA_ CSPI3_<br />

RESET SPI_RDY<br />

PC_<br />

BVD1<br />

PC_<br />

READY<br />

SD1_<br />

DATA2<br />

SD1_<br />

CLK<br />

USBH2_<br />

DIR<br />

CSPI1_<br />

SS0<br />

CSPI1_<br />

MISO<br />

PC_<br />

RW<br />

PC_<br />

VS1<br />

PC_<br />

CD1<br />

SD1_<br />

CMD<br />

ATA_<br />

CS1<br />

PC_<br />

BVD2<br />

PC_<br />

WAIT<br />

SD1_<br />

DATA0<br />

USBH2_ USBH2_<br />

STP NXT<br />

CSPI1_<br />

SS2<br />

CSPI1_<br />

SCLK<br />

SRXD3 STXD3<br />

CSPI2_<br />

MOSI<br />

USBOTG<br />

_DATA2<br />

USBOTG<br />

_DIR<br />

USBOTG_ USBOTG_<br />

DATA5 NXT<br />

USBOTG_ USBOTG_<br />

DATA7 DATA1<br />

CSPI2_SPI<br />

_RDY<br />

BATT_<br />

LINE<br />

USB_<br />

PWR<br />

USB_<br />

OC<br />

USB_<br />

BYP<br />

USBOTG_ USBOTG_<br />

DATA4 CLK<br />

CSPI2_<br />

SS2<br />

SCK4 SFS5<br />

ATA_<br />

DIOW<br />

CSPI3_<br />

SCLK<br />

CTS1<br />

USBOTG_ USBOT<br />

DATA3 G_STP<br />

USBOTG_<br />

DATA0<br />

DTR_<br />

DTE1<br />

RTS1<br />

DSR_<br />

DCE1<br />

RXD1 DCD_<br />

DCE1<br />

TXD1<br />

RI_<br />

DCE1<br />

DTR_<br />

DCE1<br />

DSR_<br />

DTE1<br />

RI_<br />

DTE1<br />

DTR_<br />

DCE2<br />

DCD_<br />

DTE1<br />

TXD2<br />

RXD2<br />

RTS2<br />

CTS2<br />

CE_<br />

CONTROL<br />

KEY_<br />

ROW4<br />

KEY_<br />

ROW0<br />

KEY_<br />

ROW1<br />

KEY_<br />

ROW2<br />

KEY_<br />

ROW5<br />

KEY_<br />

COL4<br />

KEY_<br />

ROW3<br />

KEY_<br />

ROW6<br />

KEY_<br />

ROW7<br />

KEY_<br />

COL2<br />

KEY_<br />

COL0<br />

KEY_<br />

COL1<br />

KEY_<br />

COL3<br />

KEY_<br />

COL5<br />

KEY_<br />

COL6<br />

TMS<br />

KEY_<br />

COL7<br />

TDI SRX0 COMPARE GND GND GND A<br />

TDO SIMPD0 SCLK0 GPIO1_2 WATCH<br />

DOG_RST<br />

SJC_<br />

MOD<br />

SRST0 GPIO1<br />

_0<br />

RTCK DE SVEN0 CAPTURE GPIO1<br />

_5<br />

TCK TRSTB STX0<br />

BOOT_<br />

MODE0<br />

BOOT_<br />

MODE3<br />

CLKO<br />

BOOT_<br />

MODE2<br />

BOOT_<br />

MODE4<br />

POWER_<br />

FAIL<br />

BOOT_<br />

MODE1<br />

GND GND B<br />

GND GND C<br />

GPIO1_4 GND GND D<br />

CKIL DVFS0 DVFS1 E<br />

POR<br />

RESET_<br />

IN<br />

CKIH F<br />

NVCC5 NVCC5 NVCC6 NVCC6 NVCC6 NVCC9 NVCC1 NVCC1 GPIO1_1 GPIO1_6 GPIO1_3 VPG0 VPG1 GPIO3_0 G<br />

NVCC5 NVCC5 NVCC8 NVCC8 NVCC6 QVCC NVCC4 NVCC7 NVCC1 CLKSS VSTBY<br />

PC_POE IOIS16 QVCC1 QVCC1 QVCC1 NVCC8 GND GND QVCC NVCC4 NVCC7 NVCC1<br />

PC_<br />

PWRON<br />

SD1_<br />

DATA3<br />

USBH2_<br />

DATA0<br />

CSPI1_<br />

SS1<br />

1 These contacts are not used <strong>and</strong> must be floated by the user.<br />

NVCC3 NVCC3 QVCC1 GND GND GND GND GND NVCC4 NVCC7 GPIO3_1<br />

NVCC3 NVCC3 QVCC4 GND GND GND GND GND QVCC NVCC7 CSI_D6<br />

QVCC4 QVCC4 GND GND GND GND GND GND QVCC NVCC7 DRDY0<br />

NC 1<br />

QVCC4 QVCC GND GND GND GND GND QVCC NVCC2<br />

P SCK3 SFS3 STXD6 SFS6 NFWP NC 1 NVCC10 QVCC GND GND GND GND GND QVCC NVCC2 UGND UVCC D3_CLS<br />

R SRXD6 SCK6 NFRB NFCE D13 NVCC10 NVCC10 NVCC1<br />

0<br />

T NFCLE NFALE NFWE NFRE D8 D4 IOQVDD NVCC1<br />

NVCC22 NVCC21 NVCC21 NVCC21 NVCC2<br />

0<br />

FUSE_<br />

VDD<br />

D3_<br />

SPL<br />

I2C_<br />

CLK<br />

I2C_<br />

DAT<br />

CSI_<br />

D14<br />

SD_D_<br />

IO<br />

CSI_<br />

MCLK<br />

CSI_<br />

VSYNC<br />

CSI_HSY CSI_PIX<br />

H<br />

NC CLK<br />

CSI_D4 CSI_D5 CSI_D7 CSI_D8 J<br />

CSI_D9<br />

CSI_<br />

D10<br />

CSI_<br />

D11<br />

CSI_<br />

D12<br />

CSI_D13 CSI_D15 VSYNC0 HSYNC L<br />

SD_D_I<br />

SD_D_<br />

CLK<br />

K<br />

LCS0 FPSHIFT M<br />

READ VSYNC3 CONTRAST WRITE LCS1 N<br />

QVCC QVCC GND QVCC QVCC NVCC2 NVCC2 LD8 LD11 LD3 LD2 LD1 LD0 R<br />

FVCC<br />

M_<br />

REQUEST<br />

U D15 D14 D12 D11 D0 NVCC22 NVCC22 NVCC2<br />

NVCC22 NVCC21 SVCC SGND MGND MVCC FGND CS0<br />

2<br />

D3_<br />

REV<br />

PAR_<br />

RS<br />

SER_<br />

RS<br />

OE LD7 LD6 LD5 LD4 T<br />

M_<br />

GRANT<br />

LD12 NC LD10 LD9 U<br />

V D10 D9 D6 D3 NVCC22 NVCC22 NVCC22 NVCC2<br />

NVCC22 A22 A20 A18 A16 A10 SDCKE1 LBA RW LD16 LD15 LD14 LD13 V<br />

2<br />

W D7 D5 D2 D1 BCLK EB1 EB0 LD17 W<br />

Y GND MA10 A13 A8 A4 A0 SDBA1 A25 A24 A23 A21 A19 A17 A15 A14 DQM1 SDCKE0 CS2 CS3 CS4 ECB CS1 GND Y<br />

AA GND GND A12 A7 A3 SDBA0 SD30 SD28 SD24 SD20 SD17 SD15 SD12 SD9 SD6 SD4 SD1 DQM2 RAS CAS CS5 GND GND AA<br />

AB GND GND A11 A6 A2 SDQS3 SD29 SD26 SDQS2 SD21 SD18 SDQS1 SD13 SD10 SD7 SDQS0 SD2 DQM3 DQM0 SDWE GND GND GND AB<br />

AC GND GND A9 A5 A1 SD31 SD27 SD25 SD23 SD22 SD19 SD16 SD14 SD11 SD8 SD5 SD3 SD0 SDCLK SDCLK GND GND GND AC<br />

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family<br />

P<br />

Package Information <strong>and</strong> Pinout


6 Product Differences<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Product Differences<br />

The locations that provide the differences between silicon Revision 2.0, 1.2, <strong>and</strong> previous versions are<br />

given in Table 72. The differences between the MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L <strong>and</strong> the<br />

MCI<strong>MX31</strong>C/MCI<strong>MX31</strong>LC are outlined in Table 73.<br />

Table 72. Silicon Differentiation by Location within the <strong>Data</strong> <strong>Sheet</strong><br />

Item Location Silicon 1.2 <strong>and</strong> Previous Silicon 2.0<br />

Ordering Information Section 1.2, “Ordering Information Table 1 Table 1<br />

Feature Differences Table 1.2.1, "Feature Differences<br />

Between Mask Sets," on page 3<br />

Operating Ranges Table 4.1, "Chip-Level Conditions,"<br />

on page 10<br />

Power-up<br />

Sequences<br />

Power-down<br />

Sequences<br />

Device ordering<br />

information<br />

N/A Table 1.2.1<br />

Table 8, "Operating Ranges," on<br />

page 13<br />

Section 4.2.1, “Powering Up Figure 2, "Power-Up Sequence<br />

for Silicon Revisions 1.2 <strong>and</strong><br />

Previous," on page 20<br />

Table 8, <strong>and</strong> Table 9, "Specific<br />

Operating Ranges for Silicon<br />

Revision 2.0," on page 14<br />

Figure 3, "Option 1 Power-Up<br />

Sequence (Silicon Revision<br />

2.0)," on page 21<br />

Section 4.2.2, “Powering Down — —<br />

Table 73. Product Differentiation<br />

Item Location MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L MCI<strong>MX31</strong>C/MCI<strong>MX31</strong>LC<br />

Thermal simulation<br />

values<br />

Core overdrive<br />

operating voltages<br />

Table 1, "Ordering Information," on<br />

page 3<br />

Table 6, "Thermal Resistance<br />

<strong>Data</strong>—14 × 14 mm Package," on<br />

page 11 <strong>and</strong> Table 7, "Thermal<br />

Resistance <strong>Data</strong>—19 × 19 mm<br />

Package," on page 11<br />

Table 8, "Operating Ranges," on<br />

page 13<br />

Fuse_VDD Table 8, "Operating Ranges," on<br />

page 13 <strong>and</strong> Table 9, "Specific<br />

Operating Ranges for Silicon<br />

Revision 2.0," on page 14<br />

Ambient operating<br />

temperature range<br />

Current consumption<br />

values<br />

DPLL maximum<br />

output freq range<br />

Table 13, "Current Consumption for<br />

–40×C to 85×C, for Silicon Revision<br />

2.0," on page 17, <strong>and</strong> Table 14,<br />

"Current Consumption for 0×C to<br />

70×C, for Silicon Revision 2.0," on<br />

page 18<br />

Table 13, "Current Consumption for<br />

–40×C to 85×C, for Silicon Revision<br />

2.0," on page 17<br />

Table 31, "DPLL Specifications," on<br />

page 37<br />

See Table 1. See Table 1.<br />

See Table 6 <strong>and</strong> Table 7. See Table 7.<br />

Capability to operate in overdrive<br />

voltages.<br />

Fusebox read Supply Voltage<br />

1.65 min, 1.95 max.<br />

0°C min, 70°C max<br />

–40°C min, 85°C max<br />

Typical value changes for State<br />

Retention, Doze, <strong>and</strong> Wait. See<br />

Table.<br />

Not capable of overdrive<br />

operating voltages.<br />

In read mode, FUSE_VDD<br />

should be floated.<br />

–40°C min, 85°C max<br />

Typical value changes for State<br />

Retention, Doze, <strong>and</strong> Wait. See<br />

Table.<br />

MPLL <strong>and</strong> SPLL = 532 MHz MPLL <strong>and</strong> SPLL = 400 MHz<br />

Freescale Semiconductor 119<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


Product Documentation<br />

GPIO maximum<br />

input current (100 kΩ<br />

PU)<br />

Core operating<br />

speed<br />

Table 15, "GPIO DC Electrical<br />

Parameters," on page 22<br />

Table 8, "Operating Ranges," on<br />

page 13<br />

Package Table 70, "Ball Map—14 x 14 0.5<br />

mm Pitch," on page 117 <strong>and</strong><br />

Table 71, "Ball Map—19 x 19 0.8<br />

mm Pitch," on page 118<br />

Pin Assignment Table 66, "14 x 14 BGA Signal ID by<br />

Ball Grid Location," on page 107 <strong>and</strong><br />

Table 69, "19 x 19 BGA Signal ID by<br />

Ball Grid Location," on page 113<br />

7 Product Documentation<br />

This <strong>Data</strong> <strong>Sheet</strong> is labeled as a particular type: Product Preview, Advance Information, or Technical <strong>Data</strong>.<br />

Definitions of these types are available at: http://www.freescale.com.<br />

MCI<strong>MX31</strong> Product Brief (order number MCI<strong>MX31</strong>PB)<br />

MCI<strong>MX31</strong> Reference Manual (order number MCI<strong>MX31</strong>RM)<br />

MCI<strong>MX31</strong> Chip Errata (order number MCI<strong>MX31</strong>CE)<br />

The Freescale manuals are available on the Freescale Semiconductors Web site at<br />

http://www.freescale.com/imx. These documents may be downloaded directly from the Freescale Web<br />

site, or printed versions may be ordered. ARM Ltd. documentation is available from http://www.arm.com.<br />

8 Revision History<br />

V I = 0, I IN = 25 μA<br />

V I = NVCC, I IN = 0.1 μA<br />

Table 74 summarizes revisions to this document since the release of Rev. 3.4.<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

120 Freescale Semiconductor<br />

N/A<br />

N/A<br />

532 MHz 400 MHz<br />

MAPBGA Packages<br />

457 14 x 14 mm, 0.5 mm Pitch<br />

473 19 x 19 mm, 0.8 mm Pitch<br />

MAPBGA Packages<br />

457 14 x 14 mm, 0.5 mm Pitch<br />

473 19 x 19 mm, 0.8 mm Pitch<br />

Table 74. Revision History<br />

Rev. Location Revision<br />

4 Figure 87, Table 73 Updated.<br />

Table 73. Product Differentiation (continued)<br />

Item Location MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L MCI<strong>MX31</strong>C/MCI<strong>MX31</strong>LC<br />

4.1 Table 1, "Ordering Information," on page 3 Added note about JTAG compliance.<br />

4.1 Section 1.2.1/3 Updated with new operating frequencies<br />

4.1 Table 8, "Operating Ranges," on page 13 Added new operating frequencies<br />

MAPBGA Package<br />

47319x19mm, 0.8mm Pitch<br />

MAPBGA Package<br />

47319x19mm, 0.8mm Pitch<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


This page left intentionally blank<br />

MCI<strong>MX31</strong>/MCI<strong>MX31</strong>L Technical <strong>Data</strong>, Rev. 4.1<br />

Revision History<br />

Freescale Semiconductor 121<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family


How to Reach Us:<br />

Home Page:<br />

www.freescale.com<br />

E-mail:<br />

support@freescale.com<br />

USA/Europe or Locations Not Listed:<br />

Freescale Semiconductor<br />

Technical Information Center, CH370<br />

1300 N. Alma School Road<br />

Ch<strong>and</strong>ler, Arizona 85224<br />

+1-800-521-6274 or +1-480-768-2130<br />

support@freescale.com<br />

Europe, Middle East, <strong>and</strong> Africa:<br />

Freescale Halbleiter Deutschl<strong>and</strong> GmbH<br />

Technical Information Center<br />

Schatzbogen 7<br />

81829 Muenchen, Germany<br />

+44 1296 380 456 (English)<br />

+46 8 52200080 (English)<br />

+49 89 92103 559 (German)<br />

+33 1 69 35 48 48 (French)<br />

support@freescale.com<br />

Japan:<br />

Freescale Semiconductor Japan Ltd.<br />

Headquarters<br />

ARCO Tower 15F<br />

1-8-1, Shimo-Meguro, Meguro-ku,<br />

Tokyo 153-0064, Japan<br />

0120 191014 or +81 3 5437 9125<br />

support.japan@freescale.com<br />

Asia/Pacific:<br />

Freescale Semiconductor Hong Kong Ltd.<br />

Technical Information Center<br />

2 Dai King Street<br />

Tai Po Industrial Estate<br />

Tai Po, N.T., Hong Kong<br />

+800 2666 8080<br />

support.asia@freescale.com<br />

For Literature Requests Only:<br />

Freescale Semiconductor Literature Distribution Center<br />

P.O. Box 5405<br />

Denver, Colorado 80217<br />

1-800-521-6274 or 303-675-2140<br />

Fax: 303-675-2150<br />

LDCForFreescaleSemiconductor@hibbertgroup.com<br />

Document Number: MCI<strong>MX31</strong><br />

Rev. 4.1<br />

11/2008<br />

Information in this document is provided solely to enable system <strong>and</strong> software implementers to use<br />

Freescale Semiconductor products. There are no express or implied copyright licenses granted<br />

hereunder to design or fabricate any integrated circuits or integrated circuits based on the information<br />

in this document.<br />

Freescale Semiconductor reserves the right to make changes without further notice to any products<br />

herein. Freescale Semiconductor makes no warranty, representation or guarantee regarding the<br />

suitability of its products for any particular purpose, nor does Freescale Semiconductor assume any<br />

liability arising out of the application or use of any product or circuit, <strong>and</strong> specifically disclaims any<br />

<strong>and</strong> all liability, including without limitation consequential or incidental damages. “Typical” parameters<br />

that may be provided in Freescale Semiconductor data sheets <strong>and</strong>/or specifications can <strong>and</strong> do vary<br />

in different applications <strong>and</strong> actual performance may vary over time. All operating parameters,<br />

including “Typicals”, must be validated for each customer application by customer’s technical experts.<br />

Freescale Semiconductor does not convey any license under its patent rights nor the rights of others.<br />

Freescale Semiconductor products are not designed, intended, or authorized for use as components<br />

in systems intended for surgical implant into the body, or other applications intended to support or<br />

sustain life, or for any other application in which the failure of the Freescale Semiconductor product<br />

could create a situation where personal injury or death may occur. Should Buyer purchase or use<br />

Freescale Semiconductor products for any such unintended or unauthorized application, Buyer shall<br />

indemnify <strong>and</strong> hold Freescale Semiconductor <strong>and</strong> its officers, employees, subsidiaries, affiliates, <strong>and</strong><br />

distributors harmless against all claims, costs, damages, <strong>and</strong> expenses, <strong>and</strong> reasonable attorney<br />

fees arising out of, directly or indirectly, any claim of personal injury or death associated with such<br />

unintended or unauthorized use, even if such claim alleges that Freescale Semiconductor was<br />

negligent regarding the design or manufacture of the part.<br />

Freescale <strong>and</strong> the Freescale logo are trademarks of Freescale Semiconductor, Inc. ARM, ARM<br />

Thumb, Jazelle, <strong>and</strong> the ARM Powered logo are registered trademarks of ARM Limited.<br />

ARM1136JF-S, ARM11, Embedded Trace Kit, Embedded Trace Macrocell, ETM, Embedded Trace<br />

Buffer, <strong>and</strong> ETB are trademarks of ARM Limited. All other product or service names are the property<br />

of their respective owners. Java <strong>and</strong> all other Java-based marks are trademarks or registered<br />

trademarks of Sun Microsystems, Inc. in the U.S. <strong>and</strong> other countries. France Telecom – TDF –<br />

Groupe des ecoles des telecommunications Turbo codes patents license.<br />

© Freescale Semiconductor, Inc. 2005, 2006, 2007. All rights reserved.<br />

Because of an order from the United States International Trade Commission, BGA-packaged product lines <strong>and</strong> part numbers indicated here currently are not<br />

available from Freescale for import or sale in the United States prior to September 2010: i.<strong>MX31</strong> Product Family

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!