Skip to main content
  • 1105 Accesses

Abstract

Test pattern generation is an important part of the VLSI testing flow that offers many possibilities that can be explored for reducing test power dissipation. The issue of test power reduction can be addressed at various stages of test generation for logic circuits, by employing low-power automatic test pattern generation, low-power test compaction, low-power X-filling, and low-power test vector ordering. In addition, power dissipation in memory testing can be reduced through low-power memory test generation. The most significant advantage of reducing test power through low-power test generation is that this approach causes neither circuit overhead nor performance degradation. However, low-power test generation is a complex technical field, in which many important factors in addition to the effect of test power reduction such as test vector count inflation, potential fault coverage loss, test generation time increase, compatibility with compressed scan testing, and test generation flow modification should be taken into careful consideration. Therefore, the objective of this chapter is to provide a comprehensive overview of the basic principals and fundamental approaches to low-power test generation, along with detailed descriptions of typical methods, so as to help researchers devise more innovative solutions and practitioners build better flows in order to achieve the goal of optimally reducing test power through low-power test generation.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • M. Abramovici, M. Breuer, and A. Friedman, Digital Systems Testing and Testable Design. New York: Wiley-IEEE Press, revised edition, 1994.

    Google Scholar 

  • N. Ahmed, M. Tehranipoor, and Y. Jayaram, “Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design,” in Proc. of the Design Automation Conf ., Jun. 2007a, pp. 553–538.

    Google Scholar 

  • N. Ahmed, M. Tehranipoor, and V. Jayaram, “Supply Voltage Noise Aware ATPG for Transition Delay Faults,” in Proc. of the VLSI Test Symp., May 2007b, pp. 179–186.

    Google Scholar 

  • P. H. Bardell, W. H. McAnney, and J. Savir, Built-In Test for VLSI: Pseudo-Random Techniques. London: John Wiley & Sons, 1987.

    Google Scholar 

  • M. Bushnell and V. Agrawal, Essentials of Electronic Testing for Digital, Memory & Mixed-Signal VLSI Circuits. Boston: Springer, first edition, 2000.

    Google Scholar 

  • K. M. Butler, J. Saxena, T. Fryars, G. Hetherington, A. Jain, and J. Lewis, “Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques,” in Proc. of the International Test Conf ., Oct. 2004, pp. 355–364.

    Google Scholar 

  • S. Chakravarty and V. Dabholkar, “Two Techniques for Minimizing Power Dissipation in Scan Circuits during Test Application,” in Proc. of Asian Test Symp., Nov. 1994, 324–329.

    Google Scholar 

  • A. Chandra and K. Chakrabarty, “System-on-a-Chip Test Data Compression and Decompression Architectures Based on Golomb Codes,” IEEE Trans. on Computer-Aided Design, vol. 20, no. 3, pp. 355–368, Mar. 2001a.

    Google Scholar 

  • A. Chandra and K. Chakrabarty, “Combining Low-Power Scan Testing and Test Data Compression for System-on-a-Chip,” in Proc. of the Design Automation Conf ., Jun. 2001b, pp. 166–169.

    Google Scholar 

  • A. Chandra and R. Kapur, “Bounded Adjacent Fill for Low Capture Power Scan Testing,” in Proc. of the VLSI Test Symp., Apr. 2008, pp. 131–138.

    Google Scholar 

  • H. Cheung and S. Gupta, “A BIST Methodology for Comprehensive Testing of RAM with Reduced Heat Dissipation,” in Proc. of the International Test Conf ., Oct. 1996, pp. 22–32.

    Google Scholar 

  • F. Corno, P. Prinetto, M. Rebaudengo, and M. S. Reorda, “A Test Pattern Generation Methodology for Low Power Consumption,” in Proc. of the VLSI Test Symp., Apr. 1998, pp. 453–459.

    Google Scholar 

  • D. Czysz, M. Kassab, X. Lin, G. Mrugalski, J. Rajski, and J. Tyszer, “Low Power Scan Shift and Capture in the EDT Environment,” in Proc. of the International Test Conf ., Oct. 2008, Paper 13.2.

    Google Scholar 

  • V. R. Devanathan, C. P. Ravikumar, and V. Kamakoti, “A Stochastic Pattern Generation and Optimization Framework for Variation-Tolerant, Power-Safe Scan Test,” in Proc. of the International Test Conf ., Oct. 2007a, Paper 13.1.

    Google Scholar 

  • V. R. Devanathan, C. P. Ravikumar, and V. Kamakoti “On Power-Profiling and Pattern Generation for Power-Safe Scan Tests,” in Proc. of the Design, Automation, and Test in Europe Conf ., Apr. 2007b, pp. 534–539.

    Google Scholar 

  • L. Dilillo, P. Rosinger, P. Girard, and B. M. Al-Hashimi, “Minimizing Test Power in SRAM Through Pre-Charge Activity Reduction,” in Proc. of the Design, Automation and Test in Europe, Mar. 2006, pp. 1159–1165.

    Google Scholar 

  • A. H. El-Maleh and A. Al-Suwaiyan, “An Efficient Test Relaxation Technique for Combinational & Full-Scan Sequential Circuits,” in Proc. of the VLSI Test Symp., Apr. 2002, pp. 53–59.

    Google Scholar 

  • A. H. El-Maleh and K. Al-Utaibi, “An Efficient Test Relaxation Technique for Synchronous Sequential Circuits,” IEEE Trans. on Computer-Aided Design, vol. 23, no. 6, pp. 933–940, June 2004.

    Article  Google Scholar 

  • H. Furukawa, X. Wen, K. Miyase, Y. Yamato, S. Kajihara, P. Girard, L.-T. Wang, and M. Tehranipoor, “CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme for Reducing Yield Loss Risk in At-Speed Scan Testing,” in Proc. of the Asian Test Symp., Nov. 2008, pp. 397–402.

    Google Scholar 

  • N. K. Jha and S. K. Gupta, Testing of Digital Systems. London: Cambridge University Press, first edition, 2003.

    Google Scholar 

  • P. Girard, C. Landrault, S. Pravossoudovitch, and D. Severac, “Reducing Power Consumption during Test Application by Test Vector Ordering,” in Proc. of the International Symp. on Circuits and Systems, May 1998, pp. 296–299.

    Google Scholar 

  • P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, “A Test Vector Ordering Technique for Switching Activity Reduction during Test Operation,” in Proc. of 9th Great Lakes Symp. on VLSI, Mar. 1999, pp. 24–27.

    Google Scholar 

  • P. Girard, “Survey of Low-Power Testing of VLSI Circuits,” IEEE Design & Test of Computers, vol. 19, no. 3, pp. 82–92, May-June 2002.

    Google Scholar 

  • P. Girard, X. Wen, and N. A. Touba, Low-Power Testing (Chapter ??) in Advanced SOC Test Architectures – Towards Nanometer Designs. San Francisco: Morgan Kaufmann, first edition, 2007.

    Google Scholar 

  • L. H. Goldstein and E. L. Thigpen, “SCOAP: Sandia Controllability/Observability Analysis Program,” in Proc. of the Design Automation Conf ., June 1980, pp. 190–196.

    Google Scholar 

  • P. Goel, “An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits,” IEEE Trans. on Computers, vol. C-30, no. 3, pp. 215–222, Mar. 1981.

    Google Scholar 

  • I. Hamzaoglu and J. H. Patel, “Reducing Test Application Time fro Full Scan Embedded Cores,” in Proc. of the International Symp. on Fault-Tolerant Computing, July 1999, pp. 260–267.

    Google Scholar 

  • T. Hiraide, K. O. Boateng, H. Konishi, K. Itaya, M. Emori, H. Yamanaka, and T. Mochiyama, “BIST-Aided Scan Test - A New Method for Test Cost Reduction,” in Proc. of VLSI Test Symp., May 2003, pp. 359–364.

    Google Scholar 

  • T.-C. Huang and K.-J. Lee, “An Input Control Technique for Power Reduction in Scan Circuits during Test Application,” in Proc. of the Asian Test Symp., Nov. 1999, pp. 315–320.

    Google Scholar 

  • D. A. Huffman, “A Method for the Construction of Minimum Redundancy Codes,” Proc. of the Institute of Radio Engineers, vol. 40, no. 9, pp. 1098–1101, Sept. 1952.

    Google Scholar 

  • S. Kajihara, S. Morishima, A. Takuma, X. Wen, T. Maeda, S. Hamada, and Y. Sato, “A Framework of High-Quality Transition Fault ATPG for Scan Circuits,” in Proc. of the International Test Conf ., Oct. 2006, Paper 2.1.

    Google Scholar 

  • B. Keller, T. Jackson, and A. Uzzaman, “A Review of Power Strategies for DFT and ATPG,” in Proc. of the Asian Test Symp., Oct. 2007, pp. 213.

    Google Scholar 

  • B. W. Kernighan and S. Lin, “An Efficient Heuristic Procedure for Partitioning Graphs,” The Bell System Technical Journal, vol. 49, no. 2, 291–307, Feb. 1970.

    Google Scholar 

  • A. Kokrady and C. P. Ravikumar, “Fast, Layout-Aware Validation of Test Vectors for Nanometer-Related Timing Failures,” in Proc. of the International Conf. on VLSI Design, Jan. 2004, pp. 597–602.

    Google Scholar 

  • L. Lee and M. Tehranipoor, “LS-TDF: Low Switching Transition Delay Fault Test Pattern Generation,” in Proc. of the VLSI Test Symp., Apr. 2008, pp. 227–232.

    Google Scholar 

  • K.-J. Lee, J.-J. Chen, and C.-H. Huang, “Using a Single Input to Support Multiple Scan Chains,” in Proc. of the International Conf. on Computer-Aided Design, Nov. 1998, pp. 74–78.

    Google Scholar 

  • L. Lee, S. Narayan, M. Kapralos, and M. Tehranipoor, “Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation,” in Proc. of the Design, Automation, and Test in Europe Conf ., Mar. 2008, pp. 1172–1177.

    Google Scholar 

  • W. Li, S. M. Reddy, and I. Pomeranz, “On Reducing Peak Current and Power during Test,” in Proc. ofIEEE Computer Society Annual Symp. on VLSI, May 2005, pp. 156–161.

    Google Scholar 

  • X. Li, K.-J. Lee, and N. A. Touba, Test Compression (Chapter ??) in VLSI Test Principles and Architectures: Design for Testability. San Francisco: Morgan Kaufmann, first edition, 2006.

    Google Scholar 

  • J. Li, Q. Xu, Y. Hu, and X. Li, “iFill: An Impact-Oriented X-Filling Method for Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing,” in Proc. of Design, Automation, and Test in Europe, Mar. 2008a, pp. 1184–1189.

    Google Scholar 

  • J. Li, X. Liu, Y. Zhang, Y. Hu, X. Li, and Q. Xu, “On Capture Power-Aware Test Data Compression for Scan-Based Testing,” in Proc. of the International Conf. on Computer-Aided Design, Nov. 2008b, pp. 67–72.

    Google Scholar 

  • X. Lin, K.-H. Tsai, C. Wang, M. Kassab, J. Rajski, T. Kobayashi, R. Klingenberg, Y. Sato, S. Hamada, and T. Aikyo, “Timing-Aware ATPG for High Quality At-Speed Testing of Small Delay Defects,” in Proc. of the Asian Test Symp., Nov. 2006, pp. 139–146.

    Google Scholar 

  • Y.-T. Lin, M.-F. Wu, and J.-L. Huang, “PHS-Fill: A Low Power Supply Noise Test Pattern Generation Technique for At-Speed Scan Testing in Huffman Coding Test Compression Environment,” in Proc. of the Asian Test Symp., Nov. 2008, pp. 391–396.

    Google Scholar 

  • D. Liu and C. Svensson, “Power Consumption Estimation in CMOS VLSI Chips,” IEEE Journal of Solid-State Circuits, vol. 29, no. 6, pp. 663–670, June 1994.

    Article  Google Scholar 

  • K. Miyase and K. Kajihara, “XID: Don’t Care Identification of Test Patterns for Combinational Circuits,” IEEE Trans. Computer-Aided Design, vol. 23, no. 2, pp. 321–326, Feb. 2004.

    Article  Google Scholar 

  • K. Miyase, K. Noda, H. Ito, K. Hatayama, T. Aikyo, Y. Yamato, H. Furukawa, X. Wen, and S. Kajihara, “Effective IR-Drop Reduction in At-Speed Scan Testing Using Distribution-Controlling X-Identification,” in Proc. of the International Conf. on Computer-Aided Design, Nov. 2008, pp. 52–58.

    Google Scholar 

  • N. Nicolici and B. M. Al-Hashimi, Power-Constrained Testing of VLSI Circuits. Boston: Springer, first edition, 2003.

    Google Scholar 

  • N. Nicolici and X. Wen, “Embedded Tutorial on Low Power Test,” in Proc. of the European Test Symp., May 2007, pp. 202–207.

    Google Scholar 

  • N. Nicolici, B. M. Al-Hashimi, and A. C. Williams, “Minimization of Power Dissipation during Test Application in Full-Scan Sequential Circuits Using Primary Input Freezing,” IEE Proceedings - Computers and Digital Techniques, vol. 147, no. 5, pp. 313–322, Sept. 2000.

    Article  Google Scholar 

  • A. Papoulis, Probability, Random variables and Stochastic Process. New York: McGraw-Hill, 3rd edition, 1991.

    Google Scholar 

  • K. P. Parker and E. J. McCluskey, “Probability Treatment of General Combinational Networks,” IEEE Trans. on Computers, vol. C-24, no. 6, pp. 668–670, Jun. 1975.

    Google Scholar 

  • I. Pomeranz, “On the Generation of Scan-Based Test Sets with Reachable States for Testing under Functional Operation Conditions,” in Proc. of the Design Automation Conf ., Jun. 2004, pp. 928–933.

    Google Scholar 

  • J. Rajski, J. Tsyzer, M. Kassab, and N. Mukherjee, “Embedded Deterministic Test,” IEEE Trans. on Computer-Aided Design, vol. 23, no. 5, pp. 776–792, May 2004.

    Article  Google Scholar 

  • S. Ravi, “Power-Aware Test: Challenges and Solutions,” in Proc. of the International Test Conf ., Oct. 2007, Lecture 2.2.

    Google Scholar 

  • S. Ravi, V. R. Devanathan, and R. Parekhji, “Methodology for Low Power Test Pattern Generation Using Activity Threshold Control Logic,” in Proc. of the International Conf. on Computer-Aided Design, Nov. 2007, pp. 526–529.

    Google Scholar 

  • C. P. Ravikumar, M. Hirech, and X. Wen, “Test Strategies for Low-Power Devices,” Journal of Low Power Electronics, vol. 4, no. 2, pp. 127–138, Aug. 2008.

    Article  Google Scholar 

  • S. Remersaro, X. Lin, Z. Zhang, S. M. Reddy, I. Pomeranz, and J. Rajski, “Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs,” in Proc. of the International Test Conf ., Oct. 2006, Paper 32.2.

    Google Scholar 

  • S. Remersaro, X. Lin, S. M. Reddy, I. Pomeranz, and Y. Rajski, “Low Shift and Capture Power Scan Tests,” in Proc. of the International Conf. on VLSI Design, Jan. 2007, pp. 793–798.

    Google Scholar 

  • J. P. Roth, “Diagnosis of Automata Failures: A Calculus and A Method,” IBM Journal Research and Development, vol. 10, no. 4, pp. 278–291, Apr. 1966.

    Article  MATH  Google Scholar 

  • R. Sankaralingam, R. R. Oruganti, and N. A. Touba, “Static Compaction Techniques to Control Scan Vector Power Dissipation,” in Proc. of the VLSI Test Symp., Apr. 2000, pp. 35–40.

    Google Scholar 

  • R. Sankaralingam and N. A. Touba, “Controlling Peak Power during Scan Testing,” in Proc. of the VLSI Test Symp., Apr. 2002, pp. 153–159.

    Google Scholar 

  • Y. Sato, S. Hamada, T. Maeda, A. Takatori, Y. Nozuyama, and S. Kajihara, “Invisible Delay Quality - SDQM Model Lights Up What Could Not Be Seen,” in Proc. of the International Test Conf ., Nov. 2005, Paper 47.1.

    Google Scholar 

  • S. Savir and S. Patil, “On Broad-Side Delay Test,” in Proc. of the VLSI Test Symp., Apr. 1994, pp. 284–290.

    Google Scholar 

  • J. Saxena, K. Butler, V. Jayaram, and S. Hundu, “A Case Study of IR-Drop in Structured At-Speed Testing,” in Proc. of the International Test Conf ., Sept. 2003, pp. 1098–1104.

    Google Scholar 

  • N. Sitchinava, S. Samaranayake, R. Kapur, E. Gizdarski, F. Neuveux, and T. W. Williams, “Changing the Scan Enable during Scan Shift,” in Proc. of the VLSI Test Symp., Apr. 2004, pp. 73–78.

    Google Scholar 

  • D.-S. Song, J.-H. Ahn, T.-J. Kim, and S.-H. Kang, “MTR-Fill: A Simulated Annealing-Based X-Filling Technique to Reduce Test Power Dissipation for Scan-Based Designs,” IEICE Trans. on Information & System, vol. E91-D, no. 4, pp. 1197–1200, Apr. 2008.

    Google Scholar 

  • N. A. Touba, “Survey of Test Vector Compression Techniques,” IEEE Design and Test of Computers, vol. 23, no. 6, pp. 294–303, Apr. 2006.

    Google Scholar 

  • S. Wang and W. Wei, “A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture,” in Proc. of the Asian and South Pacific Design Automation Conf ., Jan. 2005, pp. 810–816.

    Google Scholar 

  • S. Wang and S. K. Gupta, “ATPG for Heat Dissipation Minimization during Test Application,” in Proc. of the International Test Conf ., Oct. 1994, pp. 250–258.

    Google Scholar 

  • S. Wang and S. K. Gupta, “ATPG for Heat Dissipation Minimization during Scan Testing,” in Proc. of the Design Automation Conf ., Jun. 1997a, pp. 614–619.

    Google Scholar 

  • S. Wang and S. Gupta, “DS-LFSR: A New BIST TPG for Low Heat Dissipation,” in Proc. of the International Test Conf ., Nov. 1997b, pp. 848–857.

    Google Scholar 

  • S. Wang and S. K. Gupta, “ATPG for Heat Dissipation Minimization during Test Application,” IEEE Trans. on Computers, vol. 47, no. 2, pp. 256–262, Feb. 1994.

    Article  MathSciNet  Google Scholar 

  • L.-T. Wang, X. Wen, H. Furukawa, F. Hsu, S. Lin, S. Tsai, K. S. Abdel-Hafez, and S. Wu, “VirtualScan: A New Compressed Scan Technology for Test Cost Reduction,” in Proc. of the International Test Conf ., Oct. 2004, pp. 916–925.

    Google Scholar 

  • J. Wang, X. Lu, W. Qiu, Z. Yue, S. Fancler, W. Shi, and D. M. H. Walker, “Static Compaction of Delay Tests Considering Power Supply Noise,” in Proc. of the VLSI Test Symp., May 2005a, pp. 235–240.

    Google Scholar 

  • J. Wang, Z. Yue, X. Lu, W. Qiu, W. Shi, and D. M. H. Walker, “A Vector-Based Approach for Power Supply Noise Analysis in Test Compaction,” in Proc. of the International Test Conf ., Oct. 2005b, Paper 22.2.

    Google Scholar 

  • L.-T. Wang, C.-W. Wu, and X. Wen, editors, VLSI Test Principles and Architectures: Design for Testability. San Francisco: Morgan Kaufmann, first edition, 2006a.

    Google Scholar 

  • J. Wang, D. M. H Walker, A. Majhi, B. Kruseman, G. Gronthoud, L. E. Villagra, P. van de Wiel, and S. Eichenberger, “Power Supply Noise in Delay Testing,” in Proc. of the International Test Conf ., Oct. 2006b, pp. 1–10.

    Google Scholar 

  • Z. Wang and K. Chakrabarty, “Test Data Compression for IP Embedded Cores Using Selective Encoding of Scan Slices,” in Proc. of the International Test Conf ., Nov. 2005, pp. 581–590.

    Google Scholar 

  • X. Wen, Y. Yamashita, K. Kajihara, L.-T. Wang, K. K. Saluja, and K. Kinoshita, “On Low-Capture-Power Test Generation for Scan Testing,” in Proc. of the VLSI Test Symp., May 2005, pp. 265–270.

    Google Scholar 

  • X. Wen, S. Kajihara, K. Miyase, T. Suzuki, K. K. Saluja, L.-T. Wang, K. S. Abdel-Hafez, and K. Kinoshita, “A New ATPG Method for Efficient Capture Power Reduction during Scan Testing,” in Proc. of the VLSI Test Symp., May 2006, pp. 58–63.

    Google Scholar 

  • X. Wen, K. Miyase, T. Suzuki, S. Kajihara, Y. Ohsumi, and K. K. Saluja, “Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing,” in Proc. of the Design Automation Conf ., Jun. 2007a, pp. 527–532.

    Google Scholar 

  • X. Wen, K. Miyase, S. Kajihara, T. Suzuki, Y. Yamato, P. Girard, Y. Ohsumi, and L.-T. Wang, “A Novel Scheme to Reduce Power Supply Noise for High-Quality At-Speed Scan Testing,” in Proc. of the International Test Conf ., Oct. 2007b, Paper 25.1.

    Google Scholar 

  • X. Wen, K. Miyase, T. Suzuki, S. Kajihara, L.-T Wang, K. K. Saluja, and K. Kinoshita, “Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing,” Journal of Electronic Testing: Theory and Applications, Special Issue on Low Power Testing, vol. 24, no. 4, pp. 379–391, Aug. 2008a.

    Google Scholar 

  • X. Wen, K. Miyase, S. Kajihara, H. Furukawa, Y. Yamato, A. Takashima, K. Noda, H. Ito, K. Hatayama, T. Aikyo, and K. K. Saluja, “A Capture-Safe Test Generation Scheme for At-Speed Scan Testing,” in Proc. of the European Test Symp., May 2008b, pp. 55–60.

    Google Scholar 

  • P. Wohl, J. A. Waicukauski, S. Patel, and M. B. Amin, “Efficient Compression and Application of Deterministic Patterns in a Logic BIST Architecture,” in Proc. of the Design Automation Conf ., Jun. 2003, pp. 566–569.

    Google Scholar 

  • M.-F. Wu, J.-L. Huang, X. Wen, and K. Miyase, “Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing,” in Proc. of the International Test Conf ., Oct. 2008, Paper 13.1.

    Google Scholar 

  • J.-L. Yang and Q. Xu, “State-Sensitive X-Filling Scheme for Scan Capture Power Reduction,” IEEE Trans. on Computer-Aided Design of Integrated Circuits & Systems, vol. 27, no. 7, pp. 1338–1343, July 2008.

    Article  Google Scholar 

  • M. Yilmaz, K. Chakrabarty, and M. Tehranipoor, “Interconnect-Aware and Layout-Oriented Test-Pattern Selection for Small-Delay Defects,” in Proc. of the International Test Conf ., Oct. 2008, Paper 28.3.

    Google Scholar 

  • Y. Yamato, X. Wen, K. Miyase, H. Furukawa, and S. Kajihara, “GA-Based X-Filling for Reducing Launch Switching Activity in At-Speed Scan Testing,” in Digest of IEEE Workshop on Defect and Data Driven Testing, Oct. 2008.

    Google Scholar 

  • T. Yoshida and M. Watari, “A New Approach for Low Power Scan Testing,” in Proc. of the International Test Conf ., Sept. 2003, pp. 480–487.

    Google Scholar 

  • Y. Zorian, “A Distributed BIST Control Scheme for Complex VLSI Devices,” in Proc. of the VLSI Test Symp., Apr. 1993, pp. 4–9.

    Google Scholar 

Download references

Acknowledgements

The authors wish to thank Dr. P. Girard of LIRMM, Prof. N. Nicolici of McMaster University, Prof. K. K. Saluja of University of Wisconsin – Madison, Prof. S. M. Reddy of University of Iowa, Dr. L.-T. Wang of SynTest Technologies, Inc., Prof. M. Tehranipoor of University of Connecticut, Prof. S. Kajihara and Prof. K. Miyase of Kyushu Institute of Technology, Prof. K. Kinoshita of Osaka Gakuin University, Prof. X. Li and Prof. Y. Hu of Institute of Computing Technology of Chinese Academy of Sciences, Prof. Q. Xu of Chinese University of Hong Kong, Dr. K. Hatayama and Dr. T. Aikyo of STARC, and Prof. J.-L. Huang of National Taiwan University for reviewing this chapter and providing valuable comments.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xiaoqing Wen .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Wen, X., Wang, S. (2010). Low-Power Test Pattern Generation. In: Girard, P., Nicolici, N., Wen, X. (eds) Power-Aware Testing and Test Strategies for Low Power Devices. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0928-2_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0928-2_3

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0927-5

  • Online ISBN: 978-1-4419-0928-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics