< 返回产品中心
备件清单763
产品图片:
型号:1
品牌:进口
应用:工业设备
单价:¥1
产品图片:

产品描述:

Applied Materials (AMAT) 0620-01103 Cable  Assy Controller Power Astech RC-10U
Applied Materials (AMAT) 0620-01103 Cable  Assy Controller Power Astech RC-10U
 59.95  
Applied Materials (AMAT) 1950903 ILLUM PS OUT DC CABLE ASSY
Applied Materials (AMAT) 1950903 ILLUM PS OUT DC CABLE ASSY
 57.95  
Applied Materials (AMAT) 0150-20492 K-TEC ELECTRONICS  Cable  Assy.
Applied Materials (AMAT) 0150-20492 K-TEC ELECTRONICS Cable  Assy.
 119.95  
Applied Materials (AMAT) 0150-10658 CABLE ASSY 85FT EFF REMOTE MONITOR
Applied Materials (AMAT) 0150-10658 CABLE ASSY 85FT EFF REMOTE MONITOR
 344.95  
Applied Materials (AMAT) 0150-09018 ASSY FLAT CABLE CHMBR INT C 40 POS
Applied Materials (AMAT) 0150-09018 ASSY FLAT CABLE CHMBR INT C 40 POS
 49.95  
Applied Materials (AMAT) 1950762 LASER CONTROL CABLE ASSY WF 730/300
Applied Materials (AMAT) 1950762 LASER CONTROL CABLE ASSY WF 730/300
 53.95  
Applied Materials (AMAT) 0150-09918 CABLE ASSY OZONATOR PCB & 5000
Applied Materials (AMAT) 0150-09918 CABLE ASSY OZONATOR PCB & 5000
 699.95  
Applied Materials (AMAT) 0150-20160 Cable  Assy. EMO Interconnect
Applied Materials (AMAT) 0150-20160 Cable  Assy. EMO Interconnect
 279.95  
Applied Materials (AMAT) 0150-09504   Cable  Assy.
Applied Materials (AMAT) 0150-09504 Cable  Assy.
 29.95  
Applied Materials (AMAT) 30611524000 CABLE ASSY TRKBL IN/OUT
Applied Materials (AMAT) 30611524000 CABLE ASSY TRKBL IN/OUT
 30.95  
Applied Materials (AMAT) 0150-10385 CABLE ASSY FAULT/WARN. INTLK FACILITY IN
Applied Materials (AMAT) 0150-10385 CABLE ASSY FAULT/WARN. INTLK FACILITY IN
 28.95  
Applied Materials (AMAT) 50411323000 CABLE ASSY IP KB
Applied Materials (AMAT) 50411323000 CABLE ASSY IP KB
 38.95  
Applied Materials (AMAT) 0150-35548   CABLE  ASSY. LOW FREQUENCY MATCH
Applied Materials (AMAT) 0150-35548 CABLE  ASSY. LOW FREQUENCY MATCH
 1 349.95  
Applied Materials (AMAT) 0150-12411 CABLE ASSY USER CONFIG ANALOG I/O CMP PO
Applied Materials (AMAT) 0150-12411 CABLE ASSY USER CONFIG ANALOG I/O CMP PO
 125.95  
Applied Materials (AMAT) 0150-01125 CABLE ASSY.  CELL CONT./PLATING PS INTC.
Applied Materials (AMAT) 0150-01125 CABLE ASSY.  CELL CONT./PLATING PS INTC.
 55.95  
Applied Materials (AMAT) 0150-21592   CABLE ASSY
Applied Materials (AMAT) 0150-21592 CABLE ASSY
 59.95  
Applied Materials (AMAT) 0150-76687 Cable  Assy. 32IN Centura SMIF LLA
Applied Materials (AMAT) 0150-76687 Cable  Assy. 32IN Centura SMIF LLA
 59.95  
Applied Materials (AMAT) 0150-01066 CABLE ASSY.  DNET JUMPER BOX  ON BOARD D
Applied Materials (AMAT) 0150-01066 CABLE ASSY.  DNET JUMPER BOX  ON BOARD D
 50.95  
Applied Materials (AMAT) 0150-22716 CABLE ASSY  HV DI/O OUTPUT
Applied Materials (AMAT) 0150-22716 CABLE ASSY  HV DI/O OUTPUT
 45.95  
Applied Materials (AMAT) 0150-12539 CABLE ASSY GATE VALVE UP/DN 300MM TEMPES
Applied Materials (AMAT) 0150-12539 CABLE ASSY GATE VALVE UP/DN 300MM TEMPES
 150.95  
Applied Materials (AMAT) 50419550000 CABLE ASSY FAN
Applied Materials (AMAT) 50419550000 CABLE ASSY FAN
 23.95  
Amat 0140-17171 Harness Assy Right A/c Bo  New
Amat 0140-17171 Harness Assy Right A/c Bo  New
 150.00  
Applied Materials (AMAT) 0150-20026 CABLE ASSY  REMOTE 2 INTERCONNECT 40'
Applied Materials (AMAT) 0150-20026 CABLE ASSY  REMOTE 2 INTERCONNECT 40'
 699.95  
Applied Materials (AMAT) 0150-39229 CABLE ASSY 75 FT
Applied Materials (AMAT) 0150-39229 CABLE ASSY 75 FT
 699.95  
Applied Materials (AMAT) 0150-09938 CABLE  ASSY  OPERATOR CONTROL  5000 MK
Applied Materials (AMAT) 0150-09938 CABLE  ASSY  OPERATOR CONTROL  5000 MK
 123.95  
Applied Materials (AMAT) 1950199 PLC I/O CABLE ASSY
Applied Materials (AMAT) 1950199 PLC I/O CABLE ASSY
 42.95  
Applied Materials (AMAT) 0150-20084 CABLE  ASSY  PNEUMATICS 3 WAY VALVE SYS
Applied Materials (AMAT) 0150-20084 CABLE  ASSY  PNEUMATICS 3 WAY VALVE SYS
 44.95  
Applied Materials (AMAT) 0150-35224 CABLE ASSY  5300 CONVECT RON PIGTAIL (ST
Applied Materials (AMAT) 0150-35224 CABLE ASSY  5300 CONVECT RON PIGTAIL (ST
 44.95  
Amat 0140-23381 Harness Assy  Pc To Kvm Wi  New
Amat 0140-23381 Harness Assy  Pc To Kvm Wi  New
 250.00  
Applied Materials (AMAT) 0150-09053 CABLE  ASSY  RIBBION REMOTE POWER BOX
Applied Materials (AMAT) 0150-09053 CABLE  ASSY  RIBBION REMOTE POWER BOX
 29.95  
Applied Materials (AMAT) 0150-35580   CABLE  ASSY 24V COMMON
Applied Materials (AMAT) 0150-35580 CABLE  ASSY 24V COMMON
 34.95  
Applied Materials (AMAT) 0150-00277   CABLE  ASSY  FEEDTHRU GROUND STRAP
Applied Materials (AMAT) 0150-00277 CABLE  ASSY  FEEDTHRU GROUND STRAP
 17.95  
Applied Materials (AMAT) 1951806 FFU POWER CABLE ASSY
Applied Materials (AMAT) 1951806 FFU POWER CABLE ASSY
 44.95  
Applied Materials (AMAT) 0150-00336 CABLE ASSY  CR/FI LIGHT TOWER  300MM
Applied Materials (AMAT) 0150-00336 CABLE ASSY  CR/FI LIGHT TOWER  300MM
 289.95  
Applied Materials (AMAT) 0150-09057 CABLE ASSY RF GENERATOR
Applied Materials (AMAT) 0150-09057 CABLE ASSY RF GENERATOR
 279.95  
Applied Materials (AMAT) 0150-20096 CABLE ASSY  15V PS AC POWER CORD
Applied Materials (AMAT) 0150-20096 CABLE ASSY  15V PS AC POWER CORD
 39.95  
Applied Materials (AMAT) 0150-09921 CABLE ASSY OZONATOR N2 MFC & DUAL FREQ.
Applied Materials (AMAT) 0150-09921 CABLE ASSY OZONATOR N2 MFC & DUAL FREQ.
 39.95  
Applied Materials (AMAT) 30611416000 CABLE ASSY  ITU-SENSING
Applied Materials (AMAT) 30611416000 CABLE ASSY ITU-SENSING
 45.95  
Applied Materials (AMAT) 0150-00299 CABLE ASSY. E/P MODULE PARALLEL INTERFAC
Applied Materials (AMAT) 0150-00299 CABLE ASSY. E/P MODULE PARALLEL INTERFAC
 46.95  
Applied Materials (AMAT) 0150-40214 CABLE ASSY AS232
Applied Materials (AMAT) 0150-40214 CABLE ASSY AS232
 99.95  
Applied Materials (AMAT) 0150-22608 CABLE ASSY  PNEUM BLK WL ECP
Applied Materials (AMAT) 0150-22608 CABLE ASSY  PNEUM BLK WL ECP
 128.95  
Applied Materials (AMAT) 0150-09238   CABLE ASSY  HDD
Applied Materials (AMAT) 0150-09238 CABLE ASSY  HDD
 25.95  
Applied Materials (AMAT) 0150-76166 EMC COMP.  ASSY CABLE REMOTE ANALOG 50 Ft
Applied Materials (AMAT) 0150-76166 EMC COMP.  ASSY CABLE REMOTE ANALOG 50 Ft
 699.95  
Applied Materials (AMAT) 0150-22703 CABLE ASSY  WTR LEAK EXPANDER
Applied Materials (AMAT) 0150-22703 CABLE ASSY  WTR LEAK EXPANDER
 49.95  
Applied Materials (AMAT) 0140-70090   POWER CONTROL CABLE  ASSY
Applied Materials (AMAT) 0140-70090 POWER CONTROL CABLE  ASSY
 33.95  
AMAT 0150-76190 EMC COMP System Video 12FT CSI Cable Assy  108141
AMAT 0150-76190 EMC COMP System Video 12FT CSI Cable Assy  108141
 65.00  
Applied Materials (AMAT) 0150-20803   Cable  Assy.
Applied Materials (AMAT) 0150-20803 Cable  Assy.
 642.95  
Amat 0090-01979 Elec Assy Keylock Sw. Int  New
Amat 0090-01979 Elec Assy Keylock Sw. Int  New
 120.00  
Applied Materials 0540-00054 spare Vacuum Tube Assy Rev A AMAT used 93117
Applied Materials 0540-00054 spare Vacuum Tube Assy Rev A AMAT used 93117
 119.00  
Applied Materials (AMAT) 0150-09900 CABLE ASSY  OZONATOR POSITION A
Applied Materials (AMAT) 0150-09900 CABLE ASSY  OZONATOR POSITION A
 29.95  
Applied Materials (AMAT) 0150-09908 CABLE ASSY  RF GROUND STRAP
Applied Materials (AMAT) 0150-09908 CABLE ASSY  RF GROUND STRAP
 29.95  
Applied Materials (AMAT) 0190-09267   Cable  Assy. Magnetron Control 55'  ASP
Applied Materials (AMAT) 0190-09267 Cable  Assy. Magnetron Control 55'  ASP
 319.95  
Applied Materials (AMAT) 0150-38853 CABLE ASSY  25 FT M/F EMO UMBILICAL  RTP; CL
Applied Materials (AMAT) 0150-38853 CABLE ASSY  25 FT M/F EMO UMBILICAL  RTP; CL
 150.95  
Applied Materials (AMAT) 0150-36306 ASSY  CABLE  HEATER MODULE  DCSxZ
Applied Materials (AMAT) 0150-36306 ASSY  CABLE  HEATER MODULE  DCSxZ
 799.95  
Applied Materials (AMAT) 0150-09143 CABLE ASSY DC POWER JUMPER TO REMOTE PCB
Applied Materials (AMAT) 0150-09143 CABLE ASSY DC POWER JUMPER TO REMOTE PCB
 59.95  
Applied Materials (AMAT) 0150-09087   Cable  Assy. Power Susceptor Cal Display
Applied Materials (AMAT) 0150-09087 Cable  Assy. Power Susceptor Cal Display
 109.95  
Applied Materials (AMAT) 0150-16200   CABLE  ASSY.
Applied Materials (AMAT) 0150-16200 CABLE  ASSY.
 169.95  
Applied Materials (AMAT) 0150-76001 Cable  Assy. Encoder Robot Extension Int.
Applied Materials (AMAT) 0150-76001 Cable  Assy. Encoder Robot Extension Int.
 25.95  
Applied Materials (AMAT) 0150-18080 CABLE ASSY ADAPTOR WAVEGUIDE/TUNER
Applied Materials (AMAT) 0150-18080 CABLE ASSY ADAPTOR WAVEGUIDE/TUNER
 44.95  
Applied Materials (AMAT) 0150-38921 CABLE ASSY  55 FT H/X EMO UMBILICAL  RTP
Applied Materials (AMAT) 0150-38921 CABLE ASSY  55 FT H/X EMO UMBILICAL  RTP
 599.95  
Applied Materials (AMAT) 1951173 IP2 PWR CABLE ASSY
Applied Materials (AMAT) 1951173 IP2 PWR CABLE ASSY
 49.95  
Applied Materials (AMAT) 0150-20032 CABLE ASSY  15V POWER INTERCONNECT
Applied Materials (AMAT) 0150-20032 CABLE ASSY  15V POWER INTERCONNECT
 339.95  
New Applied Materials Amat 0100-09045 Pcb Assy Gate Driver
New Applied Materials Amat 0100-09045 Pcb Assy Gate Driver
 159.00  
Applied Materials (AMAT) 0150-01411 CABLE ASSY  2 MHZ COAXIAL  50 FT  REACTI
Applied Materials (AMAT) 0150-01411 CABLE ASSY  2 MHZ COAXIAL  50 FT  REACTI
 1 499.95  
NEW AMAT 0190-09151 Hybrid Seal Heater Window Assy Applied Materials / in Case
Top-Rated Plus Seller NEW AMAT 0190-09151 Hybrid Seal Heater Window Assy Applied Materials / in Case
 195.00  
Applied Materials (AMAT) 0150-00264   Cable  Assy. Interface B
Applied Materials (AMAT) 0150-00264 Cable  Assy. Interface B
 58.95  
Applied Materials (AMAT) 0150-35323   CABLE  ASSY.
Applied Materials (AMAT) 0150-35323 CABLE  ASSY.
 59.95  
Amat 0140-14633 Harness Assy Mks Macrond  New
Amat 0140-14633 Harness Assy Mks Macrond  New
 130.00  
Applied Materials (AMAT) 0150-00103 CABLE ASSY  VID INTERCON
Applied Materials (AMAT) 0150-00103 CABLE ASSY  VID INTERCON
 36.95  
Applied Materials (AMAT) 0150-00070 RIBBON CABLE ASSY 40COND
Applied Materials (AMAT) 0150-00070 RIBBON CABLE ASSY 40COND
 34.95  
Applied Materials (AMAT) 0150-00066   RIBBON CABLE ASSY 26COND
Applied Materials (AMAT) 0150-00066 RIBBON CABLE ASSY 26COND
 34.95  
Amat 0021-77190 Pin  Roller Assy  Scrubber 300mm  Lot 10  New
Amat 0021-77190 Pin  Roller Assy  Scrubber 300mm  Lot 10  New
 229.50  
Applied Materials (AMAT) 0150-20629   Cable  Assy. Mainframe Pneumatics RTP
Applied Materials (AMAT) 0150-20629 Cable  Assy. Mainframe Pneumatics RTP
 29.95  
Applied Materials (AMAT) 0150-09053   Cable  Assy. Ribbon Remote Power Box
Applied Materials (AMAT) 0150-09053 Cable  Assy. Ribbon Remote Power Box
 18.95  
Applied Materials (AMAT) 0150-92085 CABLE ASSY J11-P6BM/P2BM
Applied Materials (AMAT) 0150-92085 CABLE ASSY J11-P6BM/P2BM
 54.95  
Applied Materials (AMAT) 0150-00784 CABLE ASSY FLAT FEPC FLOPPY VDI CNTRL 30
Applied Materials (AMAT) 0150-00784 CABLE ASSY FLAT FEPC FLOPPY VDI CNTRL 30
 29.95  
Applied Materials (AMAT) 0150-20004 Cable  Assy. Turbo Controller Inter
Applied Materials (AMAT) 0150-20004 Cable  Assy. Turbo Controller Inter
 485.95  
Applied materials AMAT 0150-20192 CABLE ASSY GAS DI/DO EXTERNAL INTERCONNECT
Applied materials AMAT 0150-20192 CABLE ASSY GAS DI/DO EXTERNAL INTERCONNECT
 399.00  
Applied Materials AMAT 0150-43931 CABLE ASSY SLURRY LINE 3/4 RETROFIT   AN
Applied Materials AMAT 0150-43931 CABLE ASSY SLURRY LINE 3/4 RETROFIT   AN
 299.00  
Applied Materials (AMAT) 0150-10206   Cable Assy.
Applied Materials (AMAT) 0150-10206 Cable Assy.
 350.95  
Applied Materials (AMAT) 0150-21147 CABLE ASSY ORIENTER UMBILICAL-35FT
Applied Materials (AMAT) 0150-21147 CABLE ASSY ORIENTER UMBILICAL-35FT
 399.95  
Applied Materials (AMAT) 0140-21811   Cable  Assy
Applied Materials (AMAT) 0140-21811 Cable  Assy
 296.95  
Applied Materials (AMAT) 0150-20025   Cable  Assy. Remote #1
Applied Materials (AMAT) 0150-20025 Cable  Assy. Remote #1
 674.95  
Applied Materials (AMAT) 0150-09491 CABLE ASSY MFC 98" LONG
Applied Materials (AMAT) 0150-09491 CABLE ASSY MFC 98" LONG
 24.95  
Applied Materials (AMAT) 1951889 PRINTER COM CABLE ASSY
Applied Materials (AMAT) 1951889 PRINTER COM CABLE ASSY
 29.95  
Applied Materials (AMAT) 30811577000 CABLE ASSY CID_A COMM.
Applied Materials (AMAT) 30811577000 CABLE ASSY CID_A COMM.
 35.95  
Applied Materials (AMAT) 0150-00834 CABLE ASSY  24VDC SERVICE LIGHT WAFER LO
Applied Materials (AMAT) 0150-00834 CABLE ASSY  24VDC SERVICE LIGHT WAFER LO
 37.95  
Applied Materials (AMAT) 0150-35184 CABLE ASSY  CJ24 - SJ24
Applied Materials (AMAT) 0150-35184 CABLE ASSY  CJ24 - SJ24
 26.95  
Applied Materials (AMAT) 0150-36750 CABLE ASSY HEATER POWER LID
Applied Materials (AMAT) 0150-36750 CABLE ASSY HEATER POWER LID
 49.95  
Applied Materials (AMAT) 0150-09001   CABLE ASSY  FLAT HD DISK
Applied Materials (AMAT) 0150-09001 CABLE ASSY  FLAT HD DISK
 19.95  
Applied Materials (AMAT) 0150-09000   ASSY FLAT CABLE  HD DISK
Applied Materials (AMAT) 0150-09000 ASSY FLAT CABLE  HD DISK
 19.95  
Amat 0150-08484 Cable Assy Isrm
Amat 0150-08484 Cable Assy Isrm
 99.00  
Amat 0150-19965 Cable Assy.
Amat 0150-19965 Cable Assy.
 199.00  
Applied Materials (AMAT) 0150-35275   Cable  Assy.
Applied Materials (AMAT) 0150-35275 Cable  Assy.
 269.95  
Applied Materials (AMAT) 1952016 SB104 RS232 CABLE  ASSY
Applied Materials (AMAT) 1952016 SB104 RS232 CABLE ASSY
 40.95  
Applied Materials (AMAT) 30811467010 CABLE ASSY ADO2 COM1
Applied Materials (AMAT) 30811467010 CABLE ASSY ADO2 COM1
 40.95  
Applied Materials (AMAT) 0150-21993 CABLE ASSY SMOKE DETECT CENTURA 2ND GEN
Applied Materials (AMAT) 0150-21993 CABLE ASSY SMOKE DETECT CENTURA 2ND GEN
 38.95  
Applied Materials (AMAT) 0150-20362 CABLE ASSY GEN RACK WATER FLOW
Applied Materials (AMAT) 0150-20362 CABLE ASSY GEN RACK WATER FLOW
 39.95  
Applied Materials (AMAT) 1951175 SWITCH PWR CABLE ASSY
Applied Materials (AMAT) 1951175 SWITCH PWR CABLE ASSY
 44.95  
Applied Materials (AMAT) 0620-01278 CABLE ASSY POWER DETECTOR 50FT FOR SGP-15A
Applied Materials (AMAT) 0620-01278 CABLE ASSY POWER DETECTOR 50FT FOR SGP-15A
 43.95  
Applied Materials (AMAT) 0150-09208 Papst  Cable  Assy. Fan/Ampule
Applied Materials (AMAT) 0150-09208 Papst Cable  Assy. Fan/Ampule
 49.95  
Applied Materials (AMAT) 0150-21229 CABLE ASSY CHAMBER C
Applied Materials (AMAT) 0150-21229 CABLE ASSY CHAMBER C
 599.95  
Applied Materials (AMAT) 0150-70157 Cable  Assy.
Applied Materials (AMAT) 0150-70157 Cable  Assy.
 999.95  
Amat 0140-18702 Harn Assy Smif Indexrs  New
Amat 0140-18702 Harn Assy Smif Indexrs  New
 500.00  
Applied Materials (AMAT) 1950902 ILLUM PS IN AC EXT CABLE ASSY WF730/300
Applied Materials (AMAT) 1950902 ILLUM PS IN AC EXT CABLE ASSY WF730/300
 41.95  
Applied Materials (AMAT) 0150-00293 CABLE ASSY  ETCH EXPANDED VME  #6
Applied Materials (AMAT) 0150-00293 CABLE ASSY  ETCH EXPANDED VME  #6
 24.95  
Applied Materials (AMAT) 0150-35581 CABLE ASSY 10 TORR MANOMETER
Applied Materials (AMAT) 0150-35581 CABLE ASSY 10 TORR MANOMETER
 31.95  
Applied Materials (AMAT) 0620-02368   Cable  Assy. DNET DROP 1.0 Meter 300V
Applied Materials (AMAT) 0620-02368 Cable  Assy. DNET DROP 1.0 Meter 300V
 29.95  
Applied Materials AMAT 30811318000 CABLE ASSY SOLP-ELS24
Applied Materials AMAT 30811318000 CABLE ASSY SOLP-ELS24
 34.95  
Applied Materials (AMAT) 0620-01016   CABLE  ASSY. FLEX FLAT 17 COND 10" POLY
Applied Materials (AMAT) 0620-01016 CABLE  ASSY. FLEX FLAT 17 COND 10" POLY
 25.95  
Applied Materials (AMAT) 0090-09070   ASSY SWITCH/CABLE CHAMBER ATMOSPHERE
Applied Materials (AMAT) 0090-09070 ASSY SWITCH/CABLE CHAMBER ATMOSPHERE
 44.95  
Applied Materials (AMAT) 0150-09236   CABLE  ASSY   FLOPPY DISK DRIVE
Applied Materials (AMAT) 0150-09236 CABLE  ASSY   FLOPPY DISK DRIVE
 19.95  
Applied Materials (AMAT) 0150-09534 CABLE ASSY E-P MOD AUXF INTERFACE
Applied Materials (AMAT) 0150-09534 CABLE ASSY E-P MOD AUXF INTERFACE
 19.95  
Applied Materials (AMAT) 30811467020 CABLE ASSY ADO3 COM1
Applied Materials (AMAT) 30811467020 CABLE ASSY ADO3 COM1
 40.95  
Applied Materials (AMAT) 0620-00356 CABLE ASSY 34.5"LG ADO DOOR
Applied Materials (AMAT) 0620-00356 CABLE ASSY 34.5"LG ADO DOOR
 35.95  
Applied Materials (AMAT) 1950901 ILLUM PS INPUT AC CABLE ASSY WF730/300
Applied Materials (AMAT) 1950901 ILLUM PS INPUT AC CABLE ASSY WF730/300
 39.95  
Applied Materials (AMAT) 0150-21535   Cable  Assy.
Applied Materials (AMAT) 0150-21535 Cable  Assy.
 139.95  
Applied Materials (AMAT) 257603 CABLE   ASSY-LEFT EXT  OPTO U673
Applied Materials (AMAT) 257603 CABLE  ASSY-LEFT EXT OPTO U673
 33.95  
Applied Materials (AMAT) 257604 Cable  Assy-Left RET OPTO U674
Applied Materials (AMAT) 257604 Cable  Assy-Left RET OPTO U674
 33.95  
Applied Materials (AMAT) 30811468030 CABLE ASSY OC4 SERIAL
Applied Materials (AMAT) 30811468030 CABLE ASSY OC4 SERIAL
 37.95  
Applied Materials (AMAT) 30811577010 CABLE ASSY CID_B COMM.
Applied Materials (AMAT) 30811577010 CABLE ASSY CID_B COMM.
 35.95  
Applied Materials (AMAT) 30811786000 CABLE ASSY AMHS SERIAL
Applied Materials (AMAT) 30811786000 CABLE ASSY AMHS SERIAL
 32.95  
Applied Materials (AMAT) 30811786010 CABLE ASSY AMHS ELS/12
Applied Materials (AMAT) 30811786010 CABLE ASSY AMHS ELS/12
 32.95  
Applied Materials (AMAT) 0150-09590 EMO #2 REMOTE CABLE ASSY DELTA
Applied Materials (AMAT) 0150-09590 EMO #2 REMOTE CABLE ASSY DELTA
 29.95  
Applied Materials (AMAT) 0150-35181 CABLE ASSY  CJ21 - SJ21
Applied Materials (AMAT) 0150-35181 CABLE ASSY  CJ21 - SJ21
 27.95  
Applied Materials (AMAT) 0150-10430 CABLE ASSY TPU/MERFEI INTFC. PUMP STATU
Applied Materials (AMAT) 0150-10430 CABLE ASSY TPU/MERFEI INTFC. PUMP STATU
 35.95  
Applied Materials (AMAT) 0150-15053 CABLE ASSY  H/E INTLK #14
Applied Materials (AMAT) 0150-15053 CABLE ASSY  H/E INTLK #14
 28.95  
Applied Materials (AMAT) 1950167 P.S.FAN UNIT CABLE ASSY
Applied Materials (AMAT) 1950167 P.S.FAN UNIT CABLE ASSY
 39.95  
Applied Materials (AMAT) 1950513 DATA CUBE PWR CABLE ASSY
Applied Materials (AMAT) 1950513 DATA CUBE PWR CABLE ASSY
 37.95  
Applied Materials (AMAT) 30811579010 CABLE ASSY CID_B/3 COMM.
Applied Materials (AMAT) 30811579010 CABLE ASSY CID_B/3 COMM.
 36.95  
Applied Materials (AMAT) 0150-02402 CABLE ASSY SM.SENS/SYS.AC DIST.PCB SYSTE
Applied Materials (AMAT) 0150-02402 CABLE ASSY SM.SENS/SYS.AC DIST.PCB SYSTE
 39.95  
Applied Materials (AMAT) 0150-20285 CABLE ASSY  WCVD / HLAND WATER SWITCH
Applied Materials (AMAT) 0150-20285 CABLE ASSY  WCVD / HLAND WATER SWITCH
 35.95  
Applied Materials (AMAT) 0150-70099   CABLE  ASSY.
Applied Materials (AMAT) 0150-70099 CABLE  ASSY.
 19.95  
Applied Materials (AMAT) 0150-01689 CABLE ASSY 25' GAS INTLK ABCD=OTHR (NON-
Applied Materials (AMAT) 0150-01689 CABLE ASSY 25' GAS INTLK ABCD=OTHR (NON-
 429.95  
Applied Materials (AMAT) 0150-10404   CABLE  ASSY.  PCB 36" LONG OZONATOR
Applied Materials (AMAT) 0150-10404 CABLE  ASSY.  PCB 36" LONG OZONATOR
 59.95  
Applied Materials (AMAT) 0150-20091 CABLE ASSY HV CONTROL  SHIELD TREATMENT
Applied Materials (AMAT) 0150-20091 CABLE ASSY HV CONTROL  SHIELD TREATMENT
 29.95  
Applied Materials AMAT 0150-10613 ASSY CABLE COAX RF GEN DPA
Applied Materials AMAT 0150-10613 ASSY CABLE COAX RF GEN DPA
 599.95  
Applied Materials (AMAT) 0620-01152 Cable  Assy. D25P-D25P 10' 25 Pin Male/Male
Applied Materials (AMAT) 0620-01152 Cable  Assy. D25P-D25P 10' 25 Pin Male/Male
 59.95  
Applied Materials (AMAT) 0150-20711   Cable  Assy. AC Power Box Interface
Applied Materials (AMAT) 0150-20711 Cable  Assy. AC Power Box Interface
 29.95  
Applied Materials (AMAT) 0150-09367   CABLE ASSY  FEEDER WIRE K3-6
Applied Materials (AMAT) 0150-09367 CABLE ASSY  FEEDER WIRE K3-6
 24.95  
Applied Materials (AMAT) 0150-20185   CABLE ASSY  SOURCE HINGE GROUND
Applied Materials (AMAT) 0150-20185 CABLE ASSY  SOURCE HINGE GROUND
 19.95  
Applied Materials (AMAT) 0150-21622   CABLE ASSY  EMO JUMPER  SHP-FABS
Applied Materials (AMAT) 0150-21622 CABLE ASSY  EMO JUMPER  SHP-FABS
 19.95  
Applied Materials (AMAT) 30811468010 CABLE ASSY OC2 SERIAL
Applied Materials (AMAT) 30811468010 CABLE ASSY OC2 SERIAL
 35.95  
Applied Materials (AMAT) 0150-00013 24V PWRSUP AC CABLE ASSY
Applied Materials (AMAT) 0150-00013 24V PWRSUP AC CABLE ASSY
 27.95  
Applied Materials (AMAT) 1950168 PMT FAN UNIT CABLE ASSY
Applied Materials (AMAT) 1950168 PMT FAN UNIT CABLE ASSY
 38.95  
Applied Materials (AMAT) 30811468020 CABLE ASSY OC3 SERIAL
Applied Materials (AMAT) 30811468020 CABLE ASSY OC3 SERIAL
 36.95  
Amat 0150-05359 Cable Assy Gnd Strap Insu   New
Amat 0150-05359 Cable Assy Gnd Strap Insu  New
 30.00  
Applied Materials (AMAT) 0150-20068   CABLE  ASSY.CRYO COMPRESSOR INTERCON
Applied Materials (AMAT) 0150-20068 CABLE  ASSY.CRYO COMPRESSOR INTERCON
 377.95  
Applied Materials (AMAT) 0150-20019 Cable  Assy. Chamber C Interconnect
Applied Materials (AMAT) 0150-20019 Cable  Assy. Chamber C Interconnect
 485.95  
Applied Materials (AMAT) 0150-09019 ASSY  FLT CABLE  CHMBR INT D 40 POS
Applied Materials (AMAT) 0150-09019 ASSY  FLT CABLE  CHMBR INT D 40 POS
 49.95  
Applied Materials (AMAT) 0150-09258 CABLE ASSY MFC 42 In. LONG
Applied Materials (AMAT) 0150-09258 CABLE ASSY MFC 42 In. LONG
 15.95  
Applied Materials (AMAT) 30811786030 CABLE ASSY AMHS ELS/13
Applied Materials (AMAT) 30811786030 CABLE ASSY AMHS ELS/13
 36.95  
Applied Materials (AMAT) 30811786020 CABLE ASSY AMHS ELS/13
Applied Materials (AMAT) 30811786020 CABLE ASSY AMHS ELS/13
 32.95  
Amat 0090-01714 Assy Pc Select Switch  New
Amat 0090-01714 Assy Pc Select Switch  New
 100.00  
Applied Materials (AMAT) 0150-13227   Cable  Assy.UPS EMO Interconnect 40 FT
Applied Materials (AMAT) 0150-13227 Cable  Assy.UPS EMO Interconnect 40 FT
 539.95  
Applied Materials (AMAT) 0150-20021   CABLE ASSY STEPPER X INTERCONNECT  25'
Applied Materials (AMAT) 0150-20021 CABLE ASSY STEPPER X INTERCONNECT  25'
 593.95  
Applied Materials (AMAT) 0150-20160   Cable  Assy. EMO Interconnect
Applied Materials (AMAT) 0150-20160 Cable  Assy. EMO Interconnect
 279.95  
Applied Materials (AMAT) 0150-91799 CABLE ASSY BRG. TRANSDUCER....
Applied Materials (AMAT) 0150-91799 CABLE ASSY BRG. TRANSDUCER....
 52.95  
Applied Materials (AMAT) 0150-35464   CABLE  ASSY.
Applied Materials (AMAT) 0150-35464 CABLE  ASSY.
 69.95  
Applied Materials (AMAT) 0150-76406   Cable  Assy.
Applied Materials (AMAT) 0150-76406 Cable  Assy.
 89.95  
Applied Materials (AMAT) 0150-70137   ASSY CABLE SYSTEM VIDEO 25 FT.
Applied Materials (AMAT) 0150-70137 ASSY CABLE SYSTEM VIDEO 25 FT.
 184.95  
Applied Materials (AMAT) 0150-09033   ASSY CABLE SYSTEM VIDEO
Applied Materials (AMAT) 0150-09033 ASSY CABLE SYSTEM VIDEO
 139.95  
Applied Materials (AMAT) 0150-09573 CABLE  ASSY ANALOG #1 GAS I/F ELECTRICAL BO
Applied Materials (AMAT) 0150-09573 CABLE  ASSY ANALOG #1 GAS I/F ELECTRICAL BO
 39.95  
Applied Materials (AMAT) 0150-20608   CABLE  ASSY  ION GAUGE
Applied Materials (AMAT) 0150-20608 CABLE  ASSY  ION GAUGE
 14.95  
Applied Materials (AMAT) 0150-36338   Cable  Assy.
Applied Materials (AMAT) 0150-36338 Cable  Assy.
 49.95  
Applied Materials AMAT 0150-10175 CABLE ASSY FLAT SCSI VME
Applied Materials AMAT 0150-10175 CABLE ASSY FLAT SCSI VME
 24.95  
Applied Materials (AMAT) 0150-00069   RIBBON CABLE ASSY 20COND
Applied Materials (AMAT) 0150-00069 RIBBON CABLE ASSY 20COND
 24.95  
Applied Materials (AMAT) 0150-20678   Cable  Assy.
Applied Materials (AMAT) 0150-20678 Cable  Assy.
 174.95  
Applied Materials (AMAT) 0150-35413   Cable  Assy
Applied Materials (AMAT) 0150-35413 Cable  Assy
 89.95  
Applied Materials (AMAT) 1951172 IP1 PWR CABLE ASSY
Applied Materials (AMAT) 1951172 IP1 PWR CABLE ASSY
 49.95  
Applied Materials (AMAT) 0150-09572 CABLE ASSY COVER/TEMP SW INTERCONN 6" &
Applied Materials (AMAT) 0150-09572 CABLE ASSY COVER/TEMP SW INTERCONN 6" &
 44.95  
Applied Materials (AMAT) 257602 Cable   ASSY-RIGHT RET  OPTO  U672
Applied Materials (AMAT) 257602 Cable  ASSY-RIGHT RET OPTO U672
 33.95  
Applied Materials (AMAT) 0150-21152 CABLE ASSY INTERLOCK HTESC CHAMBER INTER
Applied Materials (AMAT) 0150-21152 CABLE ASSY INTERLOCK HTESC CHAMBER INTER
 26.95  
Applied Materials (AMAT) 0150-20070   Cable  Assy. Neslab 3 Interconnect
Applied Materials (AMAT) 0150-20070 Cable  Assy. Neslab 3 Interconnect
 323.95  
Applied Materials (AMAT) 0150-21122   CABLE  ASSY.
Applied Materials (AMAT) 0150-21122 CABLE  ASSY.
 99.95  
Applied Materials (AMAT) 0150-36749 CABLE ASSY HEATER POWER LID EXT.
Applied Materials (AMAT) 0150-36749 CABLE ASSY HEATER POWER LID EXT.
 17.95  
Applied Materials (AMAT) 0140-00782 CABLE ASSY  RPS2  NF3  OVER PRESSURE
Applied Materials (AMAT) 0140-00782 CABLE ASSY  RPS2  NF3  OVER PRESSURE
 269.95  
Applied Materials (AMAT) 0140-70117   CABLE  ASSY
Applied Materials (AMAT) 0140-70117 CABLE  ASSY
 179.95  
Applied Materials (AMAT) 0140-18146   CABLE  ASSY.
Applied Materials (AMAT) 0140-18146 CABLE  ASSY.
 269.95  
Applied Materials (AMAT) 0150-09065   CABLE ASSY  N2 PS
Applied Materials (AMAT) 0150-09065 CABLE ASSY  N2 PS
 404.95  
Applied Materials (AMAT) 0150-20022   Cable  Assy.
Applied Materials (AMAT) 0150-20022 Cable  Assy.
 458.95  
Applied Materials (AMAT) 0150-35842   Cable  Assy. Turbo Interconnect
Applied Materials (AMAT) 0150-35842 Cable  Assy. Turbo Interconnect
 674.95  
Applied Materials (AMAT) 0150-16088   Cable  Assy. Heat Exchanger Intrfc. 50 Ft.
Applied Materials (AMAT) 0150-16088 Cable  Assy. Heat Exchanger Intrfc. 50 Ft.
 539.95  
Applied Materials (AMAT) 3750-01028 CABLE ASSY CHAMBER ATM SWITCH
Applied Materials (AMAT) 3750-01028 CABLE ASSY CHAMBER ATM SWITCH
 1 011.95  
Applied Materials (AMAT) 0150-09367   CABLE ASSY  FEEDER WIRE K3-6
Applied Materials (AMAT) 0150-09367 CABLE ASSY  FEEDER WIRE K3-6
 24.95  
Applied Materials (AMAT) 0150-A0131 ASYST POWER CABLE  ASSY
Applied Materials (AMAT) 0150-A0131 ASYST POWER CABLE ASSY
 41.95  
Applied Materials (AMAT) 0190-06073 CABLE ASSY  SMC WATER FLOW SWITCH MODULA
Applied Materials (AMAT) 0190-06073 CABLE ASSY  SMC WATER FLOW SWITCH MODULA
 38.95  
Amat 0150-09667 Cable Assy  Microwave Int  New
Amat 0150-09667 Cable Assy  Microwave Int  New
 39.00  
Applied Materials (AMAT) 0150-09397   CABLE ASSY  CHAMBER PRESSURE SWITCH
Applied Materials (AMAT) 0150-09397 CABLE ASSY  CHAMBER PRESSURE SWITCH
 512.95  
Applied Materials (AMAT) 0150-09810   GATE VALVE PWR EXTENDER CABLE ASSY
Applied Materials (AMAT) 0150-09810 GATE VALVE PWR EXTENDER CABLE ASSY
 310.95  
Applied Materials (AMAT) 0150-16089   Cable  Assy. Clean Room Monitor  50 FT
Applied Materials (AMAT) 0150-16089 Cable  Assy. Clean Room Monitor  50 FT
 337.95  
Applied Materials (AMAT) 0150-20031 CABLE ASSY  24V POWER INTERCONNECT
Applied Materials (AMAT) 0150-20031 CABLE ASSY  24V POWER INTERCONNECT
 299.95  
Applied Materials (AMAT) 0150-20905 CABLE ASSY  BUF ROBOT ENCODER TOP RETROF
Applied Materials (AMAT) 0150-20905 CABLE ASSY BUF ROBOT ENCODER TOP RETROF
 24.95  
Amat 0150-22493 Cable Assy  Water Flow By  New
Amat 0150-22493 Cable Assy  Water Flow By  New
 30.00  
Applied Materials (AMAT) 0150-20124   Cable  Assy. Ground Strap Lid NEW
Applied Materials (AMAT) 0150-20124 Cable  Assy. Ground Strap Lid NEW
 283.95  
Applied Materials (AMAT) 0150-20024   Cable  Assy.
Applied Materials (AMAT) 0150-20024 Cable  Assy.
 269.95  
Applied Materials (AMAT) 0150-A0183 ROBOT RS232 CABLE ASSY
Applied Materials (AMAT) 0150-A0183 ROBOT RS232 CABLE ASSY
 28.95  
Applied Materials (AMAT) 0150-20027   Cable  Assy.
Applied Materials (AMAT) 0150-20027 Cable  Assy.
 323.95  
Applied Materials (AMAT) 0150-09986 CABLE ASSY CHAMBER PURGE AFC  P500 WxZ
Applied Materials (AMAT) 0150-09986 CABLE ASSY CHAMBER PURGE AFC  P500 WxZ
 29.95  
Applied Materials (AMAT) 0150-09076   ASSY CABLE LIQ SRCE HTR
Applied Materials (AMAT) 0150-09076 ASSY CABLE LIQ SRCE HTR
 310.95  
Amat 0140-07177 Harn Assy  Ac Drawer Powe  New
Amat 0140-07177 Harn Assy  Ac Drawer Powe  New
 100.00  
Applied Materials (AMAT) 0150-09779   CABLE ASSY ENDPOINT DETECTOR
Applied Materials (AMAT) 0150-09779 CABLE ASSY ENDPOINT DETECTOR
 310.95  
Applied Materials (AMAT) 0150-20389 K-TEC ELECTRONICS  Cable  Assy. RFR Power
Applied Materials (AMAT) 0150-20389 K-TEC ELECTRONICS Cable  Assy. RFR Power
 169.95  
Amat 0150-22609 Cable Assy  I/o Block Aio Wl Ecp
Amat 0150-22609 Cable Assy  I/o Block Aio Wl Ecp
 355.95  
Applied Materials (AMAT) 0150-21342   Cable  Assy. Chamber 4 Interconnect
Applied Materials (AMAT) 0150-21342 Cable  Assy. Chamber 4 Interconnect
 431.95  
Amat 0150-24160 04. Cable Assy Hrs Ecat Fi To Cha Interface
Amat 0150-24160 04. Cable Assy Hrs Ecat Fi To Cha Interface
 150.00  
Applied Materials (AMAT) 0150-21568   Cable  Assy. UV/IR Circuit Interconnect
Applied Materials (AMAT) 0150-21568 Cable  Assy. UV/IR Circuit Interconnect
 189.95  
Applied Materials (AMAT) 0150-09789   CABLE  ASSY. CONTROLLER BOX  GND
Applied Materials (AMAT) 0150-09789 CABLE  ASSY. CONTROLLER BOX  GND
 19.95  
Applied Materials AMAT 0620-02363 CABLE ASSY DNET DROP 0 5 METER 300V 80C
Applied Materials AMAT 0620-02363 CABLE ASSY DNET DROP 0 5 METER 300V 80C
 40.00  
Applied Materials (AMAT) 0150-10410   CABLE ASSY  JUMPER  EMO P500
Applied Materials (AMAT) 0150-10410 CABLE ASSY  JUMPER  EMO P500
 21.95  
Applied Materials (AMAT) 0150-20388 CABLE ASSY  RF POWER I 10.0" LONG
Applied Materials (AMAT) 0150-20388 CABLE ASSY  RF POWER I 10.0" LONG
 59.95  
Applied Materials (AMAT) 0150-35222   Cable  Assy. Gas Panel Power
Applied Materials (AMAT) 0150-35222 Cable  Assy. Gas Panel Power
 337.95  
Applied Materials (AMAT) 0150-20235   Cable  Assy. Independent Cyro P
Applied Materials (AMAT) 0150-20235 Cable  Assy. Independent Cyro P
 364.95  
Applied Materials (AMAT) 0140-09206   CABLE ASSY TS
Applied Materials (AMAT) 0140-09206 CABLE ASSY TS
 159.95  
Applied Materials (AMAT) 0140-77213   Cable  Assy. Platform EMO
Applied Materials (AMAT) 0140-77213 Cable  Assy. Platform EMO
 199.95  
Applied Materials (AMAT) 0620-01186   Cable  Assy. Water Cooling 3500MMLG L-H
Applied Materials (AMAT) 0620-01186 Cable  Assy. Water Cooling 3500MMLG L-H
 169.95  
Applied Materials (AMAT) 0150-36235   CABLE  ASSY. W/SW OVERTEMP  DPA
Applied Materials (AMAT) 0150-36235 CABLE  ASSY. W/SW OVERTEMP  DPA
 189.95  
Applied Materials (AMAT) 0140-00199   Cable  Assy. Feedthru
Applied Materials (AMAT) 0140-00199 Cable  Assy. Feedthru
 139.95  
Applied Materials (AMAT) 0150-09259   CABLE  ASSY  MFC  49" LONG
Applied Materials (AMAT) 0150-09259 CABLE  ASSY  MFC  49" LONG
 26.95  
Applied Materials (AMAT) 0150-09005 ASSY FLT CABLE  GAS PANEL
Applied Materials (AMAT) 0150-09005 ASSY FLT CABLE  GAS PANEL
 309.95  
Applied Materials (AMAT) 0150-21748   Cable  Assy
Applied Materials (AMAT) 0150-21748 Cable  Assy
 69.95  
Applied Materials (AMAT) 0140-76563   CABLE  ASSY.
Applied Materials (AMAT) 0140-76563 CABLE  ASSY.
 69.95  
Applied Materials (AMAT) 0150-21776 K-TEC ELECTRONICS  Cable  Assy.
Applied Materials (AMAT) 0150-21776 K-TEC ELECTRONICS Cable  Assy.
 189.95  
Applied Materials (AMAT) 0150-09462   ASSY CABLE CHAMBER ATMOSPHERE SN SACVD
Applied Materials (AMAT) 0150-09462 ASSY CABLE CHAMBER ATMOSPHERE SN SACVD
 323.95  
Applied Materials (AMAT) 0150-21437   Cable  Assy Neslab W/Flow SW
Applied Materials (AMAT) 0150-21437 Cable  Assy Neslab W/Flow SW
 169.95  
Applied Materials (AMAT) 0150-09003   ASSY FLAT CABLE SCCI 50P
Applied Materials (AMAT) 0150-09003 ASSY FLAT CABLE SCCI 50P
 19.95  
Amat 0150-24153 Cable Assy Condor Mr Enet I/o Ch B
Amat 0150-24153 Cable Assy Condor Mr Enet I/o Ch B
 170.00  
Applied Materials (AMAT) 0150-08385 CABLE ASSY  PWR FIC TO EHUB 300MM FI
Applied Materials (AMAT) 0150-08385 CABLE ASSY  PWR FIC TO EHUB 300MM FI
 71.95  
Applied Materials (AMAT) 30611537000 CABLE ASSY  5/12VDC PWR
Applied Materials (AMAT) 30611537000 CABLE ASSY 5/12VDC PWR
 36.95  
Amat 0150-07251 Cable Assy (w39) Sanyo Z-drvr/tb6  Wet C
Amat 0150-07251 Cable Assy (w39) Sanyo Z-drvr/tb6  Wet C
 384.95  
Amat 0150-12018 Cable Assy  Ecmp Power Sup1 Cmp Lk
Amat 0150-12018 Cable Assy  Ecmp Power Sup1 Cmp Lk
 306.95  
Amat 0150-20642 Cable Assy Edwards Ch C. Intc
Amat 0150-20642 Cable Assy Edwards Ch C. Intc
 318.95  
Applied Materials (AMAT) 0150-22507 CABLE ASSY  SBC BREAKOUT INT CONT  EXTEN
Applied Materials (AMAT) 0150-22507 CABLE ASSY  SBC BREAKOUT INT CONT  EXTEN
 14.95  
Applied Materials AMAT 0150-99094 CABLE ASSY IJJ3IJJ4 - PTFE
Applied Materials AMAT 0150-99094 CABLE ASSY IJJ3IJJ4 - PTFE
 698.95  
Applied Materials (AMAT) 0150-21730 K-TEC ELECTRONICS  CABLE  ASSY. NEW
Applied Materials (AMAT) 0150-21730 K-TEC ELECTRONICS CABLE  ASSY. NEW
 69.95  
Applied Materials (AMAT) 0150-76876 CABLE ASSY 75FT CENTRU SMIF LLB INTERCON
Applied Materials (AMAT) 0150-76876 CABLE ASSY 75FT CENTRU SMIF LLB INTERCON
 329.95  
Applied Materials (AMAT) 0620-02199   Cable  Assy Fan Air Cooling 20M
Applied Materials (AMAT) 0620-02199 Cable  Assy Fan Air Cooling 20M
 384.95  
Applied Materials (AMAT) 0150-21686 CABLE ASSY HN(M)  STR TO N(M)  STR RG-21
Applied Materials (AMAT) 0150-21686 CABLE ASSY HN(M)  STR TO N(M)  STR RG-21
 949.95  
Applied Materials (AMAT) 0150-20864 CABLE ASSY 25FT RF GEN DC PWR INTCON
Applied Materials (AMAT) 0150-20864 CABLE ASSY 25FT RF GEN DC PWR INTCON
 399.95  
Applied Materials (AMAT) 1950195 EMERGENCY CABLE ASSY
Applied Materials (AMAT) 1950195 EMERGENCY CABLE ASSY
 12.95  
Amat 0150-02230 Cable Assy  Emo  8'  pvd Chamb
Amat 0150-02230 Cable Assy  Emo  8'  pvd Chamb
 279.95  
Applied Materials (AMAT) 0150-20850   Cable  Assy. EMO Interconnect
Applied Materials (AMAT) 0150-20850 Cable  Assy. EMO Interconnect
 149.95  
Applied Materials (AMAT) 0150-21569   Cable  Assy.
Applied Materials (AMAT) 0150-21569 Cable  Assy.
 189.95  
Applied Materials (AMAT) 0150-20715   Cable  Assy.
Applied Materials (AMAT) 0150-20715 Cable  Assy.
 119.95  
Applied Materials (AMAT) 0150-20587   CABLE  ASSY.
Applied Materials (AMAT) 0150-20587 CABLE  ASSY.
 119.95  
Applied Materials (AMAT) 0150-00655 CABLE ASSY CHAMBER PNEU RTP EV11 INTLK B
Applied Materials (AMAT) 0150-00655 CABLE ASSY CHAMBER PNEU RTP EV11 INTLK B
 15.95  
Applied Materials (AMAT) 0150-10014 CABLE ASSY OZONATOR  SEGMENT 2 AC CB
Applied Materials (AMAT) 0150-10014 CABLE ASSY OZONATOR  SEGMENT 2 AC CB
 15.95  
Applied Materials (AMAT) 257601 CABLE   ASSY-RIGHT EXT  OPTO  U671
Applied Materials (AMAT) 257601 CABLE  ASSY-RIGHT EXT OPTO U671
 33.95  
Applied Materials (AMAT) 0150-09068   CABLE  ASSY. FLAT CABLE  TURBO CONTROL
Applied Materials (AMAT) 0150-09068 CABLE  ASSY. FLAT CABLE  TURBO CONTROL
 18.95  
Applied Materials (AMAT) 0150-10045   CABLE ASSY  EXTENSION  HE OVER PRESSURE
Applied Materials (AMAT) 0150-10045 CABLE ASSY  EXTENSION  HE OVER PRESSURE
 109.95  
Applied Materials (AMAT) 0150-20119   Cable  Assy. Buffer Robot Test
Applied Materials (AMAT) 0150-20119 Cable  Assy. Buffer Robot Test
 129.95  
Applied Materials (AMAT) 0150-09218   ASSY CABLE OIL PRESSURE SWITCH #1/PUMPS
Applied Materials (AMAT) 0150-09218 ASSY CABLE OIL PRESSURE SWITCH #1/PUMPS
 109.95  
Applied Materials (AMAT) 0150-35963   CABLE  ASSY.
Applied Materials (AMAT) 0150-35963 CABLE  ASSY.
 79.95  
Applied Materials (AMAT) 30811578010 CABLE ASSY CID_A/2 CO
Applied Materials (AMAT) 30811578010 CABLE ASSY CID_A/2 CO
 21.95  
Applied Materials (AMAT) 0150-10474   Cable  Assy. TB3-WDB+24V
Applied Materials (AMAT) 0150-10474 Cable  Assy. TB3-WDB+24V
 22.95  
Applied Materials (AMAT) 0150-03241 CABLE ASSY  PNEUMATICS  UMBILICAL  EMC
Applied Materials (AMAT) 0150-03241 CABLE ASSY  PNEUMATICS  UMBILICAL  EMC
 1 295.95  
Applied Materials (AMAT) 0150-09588 CABLE ASSY REMOTE ANALOG #2
Applied Materials (AMAT) 0150-09588 CABLE ASSY REMOTE ANALOG #2
 899.95  
Applied Materials (AMAT) 0140-20476 K-TEC ELECTRONICS  CABLE  ASSY.
Applied Materials (AMAT) 0140-20476 K-TEC ELECTRONICS CABLE  ASSY.
 149.95  
Applied Materials (AMAT) 0150-21278 K-TEC ELECTRONICS  CABLE  ASSY.
Applied Materials (AMAT) 0150-21278 K-TEC ELECTRONICS CABLE  ASSY.
 169.95  
Applied Materials (AMAT) 0140-20562 K-TEC ELECTRONICS  CABLE  ASSY.
Applied Materials (AMAT) 0140-20562 K-TEC ELECTRONICS CABLE  ASSY.
 139.95  
Applied Materials (AMAT) 0150-21098 K-TEC ELECTRONICS  Cable  Assy.
Applied Materials (AMAT) 0150-21098 K-TEC ELECTRONICS Cable  Assy.
 169.95  
Applied Materials (AMAT) 0150-09299   CABLE  ASSY  PNEUMATIC CARD
Applied Materials (AMAT) 0150-09299 CABLE  ASSY  PNEUMATIC CARD
 36.95  
Applied Materials AMAT 0150-16007 CABLE ASSY PUMP UMBILICAL 50FT
Applied Materials AMAT 0150-16007 CABLE ASSY PUMP UMBILICAL 50FT
 395.95  
Applied Materials (AMAT) 3620-01312 CABLE ASSY COAX RG-217/U 40FT R/A QDS-UL
Applied Materials (AMAT) 3620-01312 CABLE ASSY COAX RG-217/U 40FT R/A QDS-UL
 1 399.95  
Amat 0150-05143 Cable Assy  Splitter Slave Lamp
Amat 0150-05143 Cable Assy  Splitter Slave Lamp
 270.95  
Applied Materials (AMAT) 0150-35561   Cable  Assy. Flow Switch Extention
Applied Materials (AMAT) 0150-35561 Cable  Assy. Flow Switch Extention
 99.95  
Applied Materials (AMAT) 0150-00796   Cable  Assy. Interlock  Fan Rotation
Applied Materials (AMAT) 0150-00796 Cable  Assy. Interlock  Fan Rotation
 154.95  
Applied Materials (AMAT) 0150-20684   Cable  Assy. CH Bakeout Indicator
Applied Materials (AMAT) 0150-20684 Cable  Assy. CH Bakeout Indicator
 99.95  
Applied Materials (AMAT) 0150-35438   Cable  Assy. Maint Monitor
Applied Materials (AMAT) 0150-35438 Cable  Assy. Maint Monitor
 269.95  
Applied Materials (AMAT) 0150-10132 CABLE ASSY  EXPANDED AI
Applied Materials (AMAT) 0150-10132 CABLE ASSY  EXPANDED AI
 16.95  
Applied Materials (AMAT) 0150-70278   CABLE ASSY
Applied Materials (AMAT) 0150-70278 CABLE ASSY
 431.95  
Applied Materials (AMAT) 0150-20544   Cable  Assy. Sys Status
Applied Materials (AMAT) 0150-20544 Cable  Assy. Sys Status
 296.95  
Applied Materials (AMAT) 0226-30525   Cable Assy.
Applied Materials (AMAT) 0226-30525 Cable Assy.
 377.95  
Applied Materials (AMAT) 0150-20866 Cable  Assy. 25FT Turbo Cont Int
Applied Materials (AMAT) 0150-20866 Cable  Assy. 25FT Turbo Cont Int
 269.95  
Applied Materials (AMAT) 0150-21873   CABLE  ASSY.
Applied Materials (AMAT) 0150-21873 CABLE  ASSY.
 404.95  
Applied Materials (AMAT) 0150-76037 CABLE ASSY  CHAMBER INTERCONNECT
Applied Materials (AMAT) 0150-76037 CABLE ASSY  CHAMBER INTERCONNECT
 999.95  
Applied Materials (AMAT) 0150-35617   Cable  Assy.  24VAC Front Lamp DPS
Applied Materials (AMAT) 0150-35617 Cable  Assy.  24VAC Front Lamp DPS
 337.95  
Applied Materials (AMAT) 0150-09260 CABLE ASSY MFC 56 In. LONG
Applied Materials (AMAT) 0150-09260 CABLE ASSY MFC 56 In. LONG
 22.95  
Amat 0150-29739 Cable Assy  Fdp Ch2b Ecat  New
Amat 0150-29739 Cable Assy  Fdp Ch2b Ecat  New
 20.00  
Applied Materials AMAT 0150-76698 CABLE ASSY GAS PANEL UMBILICAL#2 25FT.-E
Applied Materials AMAT 0150-76698 CABLE ASSY GAS PANEL UMBILICAL#2 25FT.-E
 299.00  
Applied Materials (AMAT) 0150-21132 CABLE ASSY  CRYO TEMP INTCNT--35FT
Applied Materials (AMAT) 0150-21132 CABLE ASSY  CRYO TEMP INTCNT--35FT
 869.95  
Applied Materials (AMAT) 0150-00938   New CABLE ASSY  RS232
Applied Materials (AMAT) 0150-00938 New CABLE ASSY  RS232
 99.95  
Applied Materials (AMAT) 0150-09368 ASSY CABLE FEEDER WIRE K3-4 TO CB9-2
Applied Materials (AMAT) 0150-09368 ASSY CABLE FEEDER WIRE K3-4 TO CB9-2
 16.95  
Applied Materials (amat) 1951916   Bcr/ocr C A M E R A Cable Assy
Applied Materials (amat) 1951916 Bcr/ocr C A M E R A Cable Assy
 129.95  
Applied Materials (AMAT) 0150-20904 CABLE ASSY  XFR ROBOT ENCODER TOP RETROF
Applied Materials (AMAT) 0150-20904 CABLE ASSY XFR ROBOT ENCODER TOP RETROF
 23.95  
Applied Materials (AMAT) 0150-20931 CABLE ASSY  SBC I/O BREAKOUT INTCON
Applied Materials (AMAT) 0150-20931 CABLE ASSY SBC I/O BREAKOUT INTCON
 16.95  
Applied Materials (AMAT) 0150-21730 K-TEC ELECTRONICS  Cable  Assy.
Applied Materials (AMAT) 0150-21730 K-TEC ELECTRONICS Cable  Assy.
 69.95  
Applied Materials (AMAT) 0140-21075 K-TEC ELECTRONICS  Cable  Assy.
Applied Materials (AMAT) 0140-21075 K-TEC ELECTRONICS Cable  Assy.
 89.95  
Applied Materials (AMAT) 0150-35182 CABLE ASSY  CJ22 - SJ22
Applied Materials (AMAT) 0150-35182 CABLE ASSY  CJ22 - SJ22
 21.95  
Applied Materials (AMAT) 0190-11141 CABLE ASSY  SIGNAL  KAWASAKI WR  300MM D
Applied Materials (AMAT) 0190-11141 CABLE ASSY  SIGNAL  KAWASAKI WR  300MM D
 981.95  
Applied Materials (AMAT) 0224-00119 CABLE ASSY POWER SUPPLY APC GAS PANEL
Applied Materials (AMAT) 0224-00119 CABLE ASSY POWER SUPPLY APC GAS PANEL
 704.95  
Applied Materials (AMAT) 0150-35936   CABLE ASSY  EXTENTION  RF CABLE INTERLOC
Applied Materials (AMAT) 0150-35936 CABLE ASSY  EXTENTION  RF CABLE INTERLOC
 19.95  
Applied Materials (AMAT) 0150-21773   Cable  Assy
Applied Materials (AMAT) 0150-21773 Cable  Assy
 149.95  
Applied Materials (AMAT) 0150-20767   Cable  Assy.
Applied Materials (AMAT) 0150-20767 Cable  Assy.
 149.95  
Applied Materials (AMAT) 0150-09701   Cable  Assy. Dual Freq. Interconnect
Applied Materials (AMAT) 0150-09701 Cable  Assy. Dual Freq. Interconnect
 69.95  
Applied Materials (AMAT) 0150-35334   CABLE  ASSY.
Applied Materials (AMAT) 0150-35334 CABLE  ASSY.
 79.95  
Applied Materials (AMAT) 0150-35473 Cable  Assy. Photo I/O Interconnect
Applied Materials (AMAT) 0150-35473 Cable  Assy. Photo I/O Interconnect
 159.95  
Applied Materials (AMAT) 0150-76869 CABLE ASSY  50 COND UMBILICAL  25FT EMC
Applied Materials (AMAT) 0150-76869 CABLE ASSY  50 COND UMBILICAL  25FT EMC
 899.95  
Applied Materials (AMAT) 0150-09589 CABLE ASSY REMOTE DIGITA L #2
Applied Materials (AMAT) 0150-09589 CABLE ASSY REMOTE DIGITA L #2
 699.95  
Applied Materials (AMAT) 0150-00275   Cable  Assy. PC Based Mono
Applied Materials (AMAT) 0150-00275 Cable  Assy. PC Based Mono
 317.95  
Applied Materials (AMAT) 1950188 PLC I/O CABLE ASSY
Applied Materials (AMAT) 1950188 PLC I/O CABLE ASSY
 22.95  
Applied Materials (AMAT) 0150-21091   Cable  Assy. Monitor Simulator
Applied Materials (AMAT) 0150-21091 Cable  Assy. Monitor Simulator
 89.95  
Applied Materials (AMAT) 0227-02536 LAMP  ASSY  LAMP AND POWER CABLE
Applied Materials (AMAT) 0227-02536 LAMP  ASSY  LAMP AND POWER CABLE
 996.95  
Applied Materials (AMAT) 0150-70103 K-TEC ELECTRONICS  Cable  Assy.
Applied Materials (AMAT) 0150-70103 K-TEC ELECTRONICS Cable  Assy.
 129.95  
Applied Materials AMAT 0150-05475 CABLE ASSY  HEAD 3 UPA  DIGITAL I/O  MIR
Applied Materials AMAT 0150-05475 CABLE ASSY  HEAD 3 UPA  DIGITAL I/O  MIR
 149.00  
Applied Materials (AMAT) 0150-38464   CABLE  ASSY.
Applied Materials (AMAT) 0150-38464 CABLE  ASSY.
 139.95  
Applied Materials (AMAT) 0150-21847   Cable  Assy
Applied Materials (AMAT) 0150-21847 Cable  Assy
 139.95  
Applied Materials (AMAT) 0150-13060   Cable  Assy
Applied Materials (AMAT) 0150-13060 Cable  Assy
 139.95  
Applied Materials (AMAT) 0150-04572   CABLE  ASSY.
Applied Materials (AMAT) 0150-04572 CABLE  ASSY.
 149.95  
Applied Materials (AMAT) 0150-37043   Cable  Assy. Mag To AC-A
Applied Materials (AMAT) 0150-37043 Cable  Assy. Mag To AC-A
 189.95  
Applied Materials (AMAT) 0150-03313 CABLE ASSY  VME FEPC FLOPPY INTERFACE
Applied Materials (AMAT) 0150-03313 CABLE ASSY  VME FEPC FLOPPY INTERFACE
 21.95  
Applied Materials (AMAT) 0150-18010   Cable  Assy
Applied Materials (AMAT) 0150-18010 Cable  Assy
 99.95  
Applied Materials (AMAT) 0150-21736   CABLE  ASSY.
Applied Materials (AMAT) 0150-21736 CABLE  ASSY.
 69.95  
Applied Materials (AMAT) 0150-20181   Cable  Assy.
Applied Materials (AMAT) 0150-20181 Cable  Assy.
 99.95  
Applied Materials (AMAT) 0150-90011   Cable  Assy Fiber Optic 3M
Applied Materials (AMAT) 0150-90011 Cable  Assy Fiber Optic 3M
 159.95  
Applied Materials (AMAT) 0150-09243   CABLE ASSY  EXPANDED GAS PANEL AFC'S UPPER
Applied Materials (AMAT) 0150-09243 CABLE ASSY  EXPANDED GAS PANEL AFC'S UPPER
 674.95  
Applied Materials (AMAT) 0150-20654   CABLE ASSY SMIF-ARM/5500 LLB
Applied Materials (AMAT) 0150-20654 CABLE ASSY SMIF-ARM/5500 LLB
 119.95  
Applied Materials (AMAT) 0150-35592   Cable  Assy. DPS Throttle Valve Control
Applied Materials (AMAT) 0150-35592 Cable  Assy. DPS Throttle Valve Control
 119.95  
Applied Materials (AMAT) 0150-02576 CABLE ASSY  LASER COVER 1  IN
Applied Materials (AMAT) 0150-02576 CABLE ASSY  LASER COVER 1  IN
 130.95  
Applied Materials (AMAT) 0150-21403   CABLE  ASSY  SMIF ARM LLB
Applied Materials (AMAT) 0150-21403 CABLE  ASSY  SMIF ARM LLB
 94.95  
Amat 0150-05358 Cable Assy  Gnd Strap  In New
Amat 0150-05358 Cable Assy  Gnd Strap  In New
 20.00  
Applied Materials (AMAT) 0150-37045   Cable  Assy. Mag to AC-C
Applied Materials (AMAT) 0150-37045 Cable  Assy. Mag to AC-C
 189.95  
Applied Materials (AMAT) 0150-20076   Cable  Assy.EMO Front Bezel
Applied Materials (AMAT) 0150-20076 Cable  Assy.EMO Front Bezel
 84.95  
Applied Materials (AMAT) 0150-36947   CABLE  ASSY  UWAVE AUTP TUNER POWER
Applied Materials (AMAT) 0150-36947 CABLE  ASSY  UWAVE AUTP TUNER POWER
 34.95  
Amat 0150-22632 Cable Assy  Robot Cont Intcon 2 Wl Ecp
Amat 0150-22632 Cable Assy  Robot Cont Intcon 2 Wl Ecp
 386.95  
Applied Materials (AMAT) 0150-20697   Cable  Assy. Cryo Comp. WTR LK Det.
Applied Materials (AMAT) 0150-20697 Cable  Assy. Cryo Comp. WTR LK Det.
 169.95  
Amat 0010-13188 Crescent Drive Assy  Vdpm  300mm Desica  New
Amat 0010-13188 Crescent Drive Assy  Vdpm  300mm Desica  New
 900.00  
Applied Materials (AMAT) 0620-00772 CABLE ASSY NULL MODEM 9.84FT DB9-F/F ***2 PA
Applied Materials (AMAT) 0620-00772 CABLE ASSY NULL MODEM 9.84FT DB9-F/F ***2 PA
 20.95  
Applied Materials (AMAT) 0150-76387 K-TEC ELECTRONICS  Cable  Assy.
Applied Materials (AMAT) 0150-76387 K-TEC ELECTRONICS Cable  Assy.
 89.95  
Applied Materials (AMAT) 0150-21796 K-TEC ELECTRONICS  Cable  Assy.
Applied Materials (AMAT) 0150-21796 K-TEC ELECTRONICS Cable  Assy.
 69.95  
Amat 30811495000 Cable Assy Wid Rs232
Amat 30811495000 Cable Assy Wid Rs232
 40.95  
Applied Materials (AMAT) 0150-A0122 CABLE EMERGENCY ASSY
Applied Materials (AMAT) 0150-A0122 CABLE EMERGENCY ASSY
 772.95  
Applied Materials (AMAT) 0150-20367   Cable  Assy. UPS-CTRLER Interconnect
Applied Materials (AMAT) 0150-20367 Cable  Assy. UPS-CTRLER Interconnect
 119.95  
Amat 0226-43940 Cable  Assy 25ft  System Video
Amat 0226-43940 Cable  Assy 25ft  System Video
 580.95  
Amat 0140-14176 H/a Assy Chamber Ccm Dist  New
Amat 0140-14176 H/a Assy Chamber Ccm Dist  New
 800.00  
Applied Materials (AMAT) 0150-09225 CABLE ASSY ONBOARD TEOS 15 EXT #7
Applied Materials (AMAT) 0150-09225 CABLE ASSY ONBOARD TEOS 15 EXT #7
 395.95  
Amat 0190-09108 Cable Assy thermocouple  Final Filter
Amat 0190-09108 Cable Assy thermocouple  Final Filter
 17.95  
Applied Materials (AMAT) 0150-01202 CABLE ASSY  RIBBON HD ROT DRVR COM 18L E
Applied Materials (AMAT) 0150-01202 CABLE ASSY  RIBBON HD ROT DRVR COM 18L E
 15.95  
Amat 3081157800 Cable Assy Cid_a/2 Comm
Amat 3081157800 Cable Assy Cid_a/2 Comm
 85.95  
Applied Materials (AMAT) 0150-10013 CABLE ASSY OZONATOR  SEGMENT 1 AC CB
Applied Materials (AMAT) 0150-10013 CABLE ASSY OZONATOR  SEGMENT 1 AC CB
 20.95  
Applied Materials (AMAT) 0990-A0021 SBC+SCSI BOARD+Y-CABLE ASSY
Applied Materials (AMAT) 0990-A0021 SBC+SCSI BOARD+Y-CABLE ASSY
 1 028.95  
Amat 0040-75432 Panel  Front Light Tower Assy Cmp Contro  New
Amat 0040-75432 Panel  Front Light Tower Assy Cmp Contro  New
 109.00  
Applied Materials (AMAT) 0150-09359   Cable  Assy. Feeder Wire K1-2 To CB4-1
Applied Materials (AMAT) 0150-09359 Cable  Assy. Feeder Wire K1-2 To CB4-1
 18.95  
Applied Materials (AMAT) 0150-20057   Cable  Assy. User DI/O Controller
Applied Materials (AMAT) 0150-20057 Cable  Assy. User DI/O Controller
 34.95  
Applied Materials(AMAT) 0190-18114 Cable  Assy RF Match To HV DC UHF Ultima HDP
Applied Materials(AMAT) 0190-18114 Cable  Assy RF Match To HV DC UHF Ultima HDP
 89.95  
Amat 1950144 Aurora Cable Assy
Amat 1950144 Aurora Cable Assy
 407.95  
Amat 70511318000 Cable Assy  Video I/o
Amat 70511318000 Cable Assy Video I/o
 67.95  
Applied Materials (AMAT) 0150-91896   Cable  Assy. 10E.CEP/Tread 10A
Applied Materials (AMAT) 0150-91896 Cable  Assy. 10E.CEP/Tread 10A
 36.95  
New AMAT 0010-02741 PLASMA CHUCK ASSY 150mm / 125mm For Sale
New AMAT 0010-02741 PLASMA CHUCK ASSY 150mm / 125mm For Sale
 4 000.00  
Amat 0150-20532 Cable Assy 4 Sys Lamps Intfc.
Amat 0150-20532 Cable Assy 4 Sys Lamps Intfc.
 119.95  
Applied Materials AMAT 0010-37031 ASSY. HEAD ROTATION CABLE  REFLEXION L
Applied Materials AMAT 0010-37031 ASSY. HEAD ROTATION CABLE  REFLEXION L
 399.00  
Amat 0620-08201 Cable Assy Dnet Drop 8.0m 300v 80c W/ 120 Ohm Rsc-rkc
Amat 0620-08201 Cable Assy Dnet Drop 8.0m 300v 80c W/ 120 Ohm Rsc-rkc
 255.00  
Amat 30611335000 Cable Assy Piezo Power
Amat 30611335000 Cable Assy Piezo Power
 279.95  
Applied Materials (AMAT) 0150-01152   CABLE  ASSY. WATER LEAK SNSR 14.8 FT
Applied Materials (AMAT) 0150-01152 CABLE  ASSY. WATER LEAK SNSR 14.8 FT
 445.95  
Applied Materials (AMAT) 0150-76558   Cable  Assy. MF Interlocks  System AC
Applied Materials (AMAT) 0150-76558 Cable  Assy. MF Interlocks  System AC
 364.95  
Applied Materials (AMAT) 0620-02283   Cable  Assy. Ebara Pump TMP HTR Sensor
Applied Materials (AMAT) 0620-02283 Cable  Assy. Ebara Pump TMP HTR Sensor
 269.95  
Applied Materials (AMAT) 0150-21368   Cable  Assy. UV/IR Circuit Interconnect
Applied Materials (AMAT) 0150-21368 Cable  Assy. UV/IR Circuit Interconnect
 323.95  
Applied Materials (AMAT) 0150-21173   Cable  Assy. Frnt. Skin M/F SID
Applied Materials (AMAT) 0150-21173 Cable  Assy. Frnt. Skin M/F SID
 49.95  
Applied Materials (AMAT) 0150-21197   Cable  Assy. Frnt. Skin M/F SID
Applied Materials (AMAT) 0150-21197 Cable  Assy. Frnt. Skin M/F SID
 49.95  
Applied Materials (AMAT) 0150-10133 CABLE ASSY  EXPANDED A0
Applied Materials (AMAT) 0150-10133 CABLE ASSY  EXPANDED A0
 13.95  
Applied Materials (AMAT) 0150-09360 ASSY CABLE FEEDER WIRE K1-4 TO CB4-2
Applied Materials (AMAT) 0150-09360 ASSY CABLE FEEDER WIRE K1-4 TO CB4-2
 10.95  
Applied Materials (AMAT) 1950189 PLC RS232 CABLE ASSY
Applied Materials (AMAT) 1950189 PLC RS232 CABLE ASSY
 13.95  
Amat 0620-08196 Cable Assy DNET DROP 9.8M 300V 80C W/ 120 OHM RSC-RKC
Amat 0620-08196 Cable Assy DNET DROP 9.8M 300V 80C W/ 120 OHM RSC-RKC
 240.00  
Amat 0140-04665 Harness Assy Swll-a Centu  New
Amat 0140-04665 Harness Assy Swll-a Centu  New
 900.00  
Applied Materials (AMAT) 0150-91897   Cable  Assy. 10E.CEP/Tread 10B
Applied Materials (AMAT) 0150-91897 Cable  Assy. 10E.CEP/Tread 10B
 36.95  
Applied Materials AMAT 0150-00298 CABLE ASSY EP MODULE - CHART RECORDERS
Applied Materials AMAT 0150-00298 CABLE ASSY EP MODULE - CHART RECORDERS
 9.95  
Applied Materials (AMAT) 0150-70002 Cable  Assy. SCSI 50P Extended
Applied Materials (AMAT) 0150-70002 Cable  Assy. SCSI 50P Extended
 48.95  
Applied Materials (AMAT) 0150-09861   Cable  Assy. User DI/O Controller
Applied Materials (AMAT) 0150-09861 Cable  Assy. User DI/O Controller
 59.95  
Amat 0010-01388 Ltesc Control Box Assy  New
Amat 0010-01388 Ltesc Control Box Assy  New
 8 500.00  
Amat 1951387 Inside Pwr In Cable Assy
Amat 1951387 Inside Pwr In Cable Assy
 426.95  
Applied Materials AMAT 1950447 SUN SPARC PWR CABLE ASSY
Applied Materials AMAT 1950447 SUN SPARC PWR CABLE ASSY
 8.95  
Applied Materials AMAT 0150-01201 CABLE ASSY RIBBON HD ROT DRVR COM 13L E
Applied Materials AMAT 0150-01201 CABLE ASSY RIBBON HD ROT DRVR COM 13L E
 19.95  
Amat 0150-35239 Cable Assy  Lamp Interconn  New
Amat 0150-35239 Cable Assy  Lamp Interconn  New
 20.00  
Applied Materials (AMAT) 1950781 IDAC PWR CABLE ASSY WF 730/300
Applied Materials (AMAT) 1950781 IDAC PWR CABLE ASSY WF 730/300
 10.95  
Amat 0150-11915 Cable Assy Drv Itfc Display Pcbs 16 - Axi
Amat 0150-11915 Cable Assy Drv Itfc Display Pcbs 16 - Axi
 65.95  
Amat 0150-00608 Cable Assy xfmr Interconnect 50ft gfi Ti
Amat 0150-00608 Cable Assy xfmr Interconnect 50ft gfi Ti
 150.95  
Applied Materials AMAT 0226-98799 Cable Assy EV Manifold MaleFemale
Applied Materials AMAT 0226-98799 Cable Assy EV Manifold MaleFemale
 9.95  
Applied Materials (AMAT) 0150-20710   CABLE  ASSY. 2ND WATER LEAK INTERCONNECT
Applied Materials (AMAT) 0150-20710 CABLE  ASSY. 2ND WATER LEAK INTERCONNECT
 49.95  
Applied Materials (AMAT) 0150-09517 CABLE ASSY CAP MONO/PROC GAS ISOLATION V
Applied Materials (AMAT) 0150-09517 CABLE ASSY CAP MONO/PROC GAS ISOLATION V
 10.95  
Amat 0140-07119 Harn Assy  120vac Fan Cir  New
Amat 0140-07119 Harn Assy  120vac Fan Cir  New
 50.00  
Applied Materials (AMAT) 0150-36053   Cable Assy  Outside Hosit Motor Pwr
Applied Materials (AMAT) 0150-36053 Cable Assy  Outside Hosit Motor Pwr
 59.95  
Applied Materials (AMAT) 0150-10599   CABLE  ASSY. INTERLOCK LOOP  DPA
Applied Materials (AMAT) 0150-10599 CABLE  ASSY. INTERLOCK LOOP  DPA
 59.95  
Amat 1950770 A-center For 4 Mot Cable Assy Wf 730/300
Amat 1950770 A-center For 4 Mot Cable Assy Wf 730/300
 336.95  
Amat0 140-14907 Harness Assy Fis Serial P  New
Amat0 140-14907 Harness Assy Fis Serial P  New
 1 300.00  
Applied Materials (AMAT) 0150-70172 CABLE ASSY  CONT. THREE PIN CONN
Applied Materials (AMAT) 0150-70172 CABLE ASSY  CONT. THREE PIN CONN
 47.95  
Applied Materials (AMAT) 0150-09859   Cable  Assy. Digital Input/Output
Applied Materials (AMAT) 0150-09859 Cable  Assy. Digital Input/Output
 59.95  
Applied Materials (AMAT) 0150-09017 Cable  Assy. FLT Cable Chamber INT B 40 Pos
Applied Materials (AMAT) 0150-09017 Cable  Assy. FLT Cable Chamber INT B 40 Pos
 49.95  
Amat 50419721100 Cable Assy Om Power
Amat 50419721100 Cable Assy Om Power
 50.95  
Applied Materials (AMAT) 0150-20283   Cable  Assy.
Applied Materials (AMAT) 0150-20283 Cable  Assy.
 39.95  
Amat 1950567 Ip1-scn Scsi  Cable Assy
Amat 1950567 Ip1-scn Scsi Cable Assy
 62.95  
Amat 0150-07249 Cable Assy (w19) Sanyo L-r Drv/tb6 wet C
Amat 0150-07249 Cable Assy (w19) Sanyo L-r Drv/tb6 wet C
 120.95  
Amat 0150-20459 Cable Assy h/e W/h20 Flow Sew
Amat 0150-20459 Cable Assy h/e W/h20 Flow Sew
 129.95  
Amat 0150-02578 Cable Assy  Laser Cover 3  Interlock
Amat 0150-02578 Cable Assy  Laser Cover 3  Interlock
 130.95  
Amat 0150-35325 Cable Assy rotation 3.1
Amat 0150-35325 Cable Assy rotation 3.1
 49.95  
Applied Materials (AMAT) 0150-20530   Cable  Assy. Controller Parallel Interface
Applied Materials (AMAT) 0150-20530 Cable  Assy. Controller Parallel Interface
 39.95  
Amat 1950823 Idac Rs232  Cable Assy
Amat 1950823 Idac Rs232 Cable Assy
 61.95  
Applied Materials (AMAT) 0150-00305   CABLE  ASSY. E/P MODULE MONOCHROMATOR-INT
Applied Materials (AMAT) 0150-00305 CABLE  ASSY. E/P MODULE MONOCHROMATOR-INT
 59.95  
Applied Materials (AMAT) 0150-21644 Cable  Assy. OVRTMP INTLK CVD AMP HTR
Applied Materials (AMAT) 0150-21644 Cable  Assy. OVRTMP INTLK CVD AMP HTR
 19.95  
Amat 0150-02783 Cable Assy gas Panel Ev Control 2  Cvd
Amat 0150-02783 Cable Assy gas Panel Ev Control 2  Cvd
 150.95  
Amat 0150-04184 Cable  Assy gev To Fsi Pcb chamber B
Amat 0150-04184 Cable  Assy gev To Fsi Pcb chamber B
 118.95  
Amat 0150-02326 Cable Assy  Emo Cont To Ac Box  Mirra 30
Amat 0150-02326 Cable Assy  Emo Cont To Ac Box  Mirra 30
 105.95  
Applied Materials (AMAT) 0150-36946 RTRON  Cable  Assy.
Applied Materials (AMAT) 0150-36946 RTRON Cable  Assy.
 38.95  
Amat 0227-44975 Cable Assy  79ft  Coax Source Generator
Amat 0227-44975 Cable Assy  79ft  Coax Source Generator
 516.95  
Applied Materials (AMAT) 70202110000   New BRAKE ASSY. SEMICONDUCTOR PART
Applied Materials (AMAT) 70202110000 New BRAKE ASSY. SEMICONDUCTOR PART
 99.95  
Amat 0150-00937 Cable Assy  Ch. Pneu Intlk Intfc
Amat 0150-00937 Cable Assy  Ch. Pneu Intlk Intfc
 70.95  
Amat 50711318000 Cable Assy Solp-rs232
Amat 50711318000 Cable Assy Solp-rs232
 25.95  
Applied Materials AMAT 0620-01078 CABLE ASSY CONVECTRON CONTROL 11 FT
Applied Materials AMAT 0620-01078 CABLE ASSY CONVECTRON CONTROL 11 FT
 149.00  
Amat 1951597 Peg To Atoc - Cable Assy
Amat 1951597 Peg To Atoc - Cable Assy
 70.95  
Applied Materials (AMAT) 0140-09206   Harness  Assy. Temp Sensor
Applied Materials (AMAT) 0140-09206 Harness  Assy. Temp Sensor
 159.95  
Amat 1951433 Fpc Power Ext.cable Assy
Amat 1951433 Fpc Power Ext.cable Assy
 54.95  
Amat 0150-02392 Cable Assy  Foreline Tc  Tst K Type  300
Amat 0150-02392 Cable Assy  Foreline Tc  Tst K Type  300
 76.95  
Amat 1951976 Fi Vac/sol Cable Assy
Amat 1951976 Fi Vac/sol Cable Assy
 262.95  
Amat 0150-23486 Cable Assy  Hard Real Tim    New
Amat 0150-23486 Cable Assy  Hard Real Tim   New
 20.00  
Amat 0150-09557 Cable Assy  Ev Power
Amat 0150-09557 Cable Assy  Ev Power
 27.95  
Amat 0150-03322 Cable Assy  Vme Fepc Scsi Dist.
Amat 0150-03322 Cable Assy  Vme Fepc Scsi Dist.
 113.95  
Amat 1951982 Sb116a Rs232 Cable Assy
Amat 1951982 Sb116a Rs232 Cable Assy
 80.95  
Amat 1951984 Sb116c Rs232 Cable Assy
Amat 1951984 Sb116c Rs232 Cable Assy
 80.95  
Amat 50311689000 Cable Assy Cid Serial
Amat 50311689000 Cable Assy Cid Serial
 80.95  
Applied Materials AMAT 0620-00887 CABLE ASSY DNET DROP 4.0 METER
Applied Materials AMAT 0620-00887 CABLE ASSY DNET DROP 4.0 METER
 189.00  
Amat 1950849 Sc General Outlet Cable Assy Wf730/300
Amat 1950849 Sc General Outlet Cable Assy Wf730/300
 75.95  
Amat 0150-a0132 Asyst Emo Cable Assy
Amat 0150-a0132 Asyst Emo Cable Assy
 103.95  
Amat 1952024 Fi Ethernet Cable Assy
Amat 1952024 Fi Ethernet Cable Assy
 26.95  
Amat 0150-02497 Cable Assy  Stepper Intfc Extension  Ann
Amat 0150-02497 Cable Assy  Stepper Intfc Extension  Ann
 127.95  
Amat 50411137000 Cable Assy Key Board
Amat 50411137000 Cable Assy Key Board
 23.95  
Amat 0150-02209 Cable Assy Vme Video
Amat 0150-02209 Cable Assy Vme Video
 20.95  
Applied Materials (AMAT) 281-600397-3   New SENSOR  WAFER TABLE ASSY
Applied Materials (AMAT) 281-600397-3 New SENSOR  WAFER TABLE ASSY
 29.95  
Amat 0150-20243 Cable Assy debug Synergy Sbc
Amat 0150-20243 Cable Assy debug Synergy Sbc
 109.95  
Amat 0150-01038 Cable Assy  Seb Pcb To Bulkhead Upper In
Amat 0150-01038 Cable Assy  Seb Pcb To Bulkhead Upper In
 5 735.95  
Amat 0150-02789 Cable Assy  Stepper Driver Dc Power
Amat 0150-02789 Cable Assy  Stepper Driver Dc Power
 2 624.95  
Amat 1950176 Cassete Sw Cable Assy
Amat 1950176 Cassete Sw Cable Assy
 21.95  
Amat 0150-02575 Cable Assy  Motor Sensor Intfc
Amat 0150-02575 Cable Assy  Motor Sensor Intfc
 98.95  
Amat 0150-01229 Cable Assy.  Equip Rack  Powe
Amat 0150-01229 Cable Assy.  Equip Rack  Powe
 150.95  
Amat 0150-03320 Cable Assy  Vme Dc Pwr Interconnect
Amat 0150-03320 Cable Assy  Vme Dc Pwr Interconnect
 109.95  
Amat 0150-04488 Cable Assy  Rs232 Converter  Link Master
Amat 0150-04488 Cable Assy  Rs232 Converter  Link Master
 88.95  
Amat 0150-20690 Cable Assy Printer 5500
Amat 0150-20690 Cable Assy Printer 5500
 86.95  
Amat 1951422 Intrlk Device Cable Assy
Amat 1951422 Intrlk Device Cable Assy
 141.95  
Amat 0150-03316 Cable Assy  Vme Fepc Video & Lp Dist.
Amat 0150-03316 Cable Assy  Vme Fepc Video & Lp Dist.
 108.95  
Amat 0150-22631 Cable Assy  Robot Cont Intcon 1 Wl Ecp
Amat 0150-22631 Cable Assy  Robot Cont Intcon 1 Wl Ecp
 606.95  
Amat 0150-00320 Cable Assy Emo Remote
Amat 0150-00320 Cable Assy Emo Remote
 82.95  
Amat 1950157 Det Rs232 Cable Assy
Amat 1950157 Det Rs232 Cable Assy
 22.95  
Amat 1950174 Autofocus Ph2 Cable Assy
Amat 1950174 Autofocus Ph2 Cable Assy
 18.95  
Amat 70511311000 Cable Assy  Ip Video
Amat 70511311000 Cable Assy Ip Video
 100.95  
Amat 0227-46708 Emc Comp. cable Assy rf Gen. Interface
Amat 0227-46708 Emc Comp. cable Assy rf Gen. Interface
 1 503.95  
Amat 0150-02623 Cable Assy  Ac Loadcenter / Controller-5
Amat 0150-02623 Cable Assy  Ac Loadcenter / Controller-5
 724.95  
Amat 0150-97546 Cable Assy  Interocnnect  Sen
Amat 0150-97546 Cable Assy  Interocnnect  Sen
 150.95  
Amat 0150-22702 Cable Assy  Wtr Leak Interconnect
Amat 0150-22702 Cable Assy  Wtr Leak Interconnect
 68.95  
Amat 0190-08676 Specification  Assy  Cable  Rs232  Cntrl
Amat 0190-08676 Specification  Assy  Cable  Rs232  Cntrl
 69.95  
Amat 0150-07841 Cable Assy  Ch Lamp Power Jumper  Ebk  P
Amat 0150-07841 Cable Assy  Ch Lamp Power Jumper  Ebk  P
 96.95  
Amat 0150-39275 Cable  Assy  Floppy Drive Signals
Amat 0150-39275 Cable Assy  Floppy Drive Signals
 20.95  
Amat 0227-02630 Cable Assy  Signal Tower -1  Maint Sw
Amat 0227-02630 Cable Assy  Signal Tower -1  Maint Sw
 66.95  
Amat 0150-00994 Cable Assy. mf Rear Panel Upper Intlk
Amat 0150-00994 Cable Assy. mf Rear Panel Upper Intlk
 35.95  
Amat 0150-76924 Cable Assy  29 Slot Strg Elev Encdr  Mc
Amat 0150-76924 Cable Assy  29 Slot Strg Elev Encdr  Mc
 20.95  
Amat 0150-02840 Cable Assy  Fdp To Mdi Dc Power  300mm C
Amat 0150-02840 Cable Assy  Fdp To Mdi Dc Power  300mm C
 119.95  
Applied materials / AMAT 0150-22299 Cable Assy EMO Generator 1/3 10FT
Applied materials / AMAT 0150-22299 Cable Assy EMO Generator 1/3 10FT
 229.00  
Amat 0150-08089 Cable Assy   Rf Generator  Rs232 Com2 Se
Amat 0150-08089 Cable Assy  Rf Generator  Rs232 Com2 Se
 115.95  
Amat 1950973 Autofocus Ph1 Cable Assy
Amat 1950973 Autofocus Ph1 Cable Assy
 26.95  
Amat 0150-75131 Cable Assy h2 Sensor To Customer Tb9
Amat 0150-75131 Cable Assy h2 Sensor To Customer Tb9
 125.95  
Amat 1950390 Idac Pwr Cable Assy 110v
Amat 1950390 Idac Pwr Cable Assy 110v
 12.95  
Amat 0150-04094 Cable Assy 300mm Ecp Wfr Ldr E84 I/f Equ
Amat 0150-04094 Cable Assy 300mm Ecp Wfr Ldr E84 I/f Equ
 128.95  
Amat 0150-02327 Cable Assy  Emo Assy To Ac Box  Mirra 30
Amat 0150-02327 Cable Assy  Emo Assy To Ac Box  Mirra 30
 116.95  
Amat 0150-08851 Cable Assy  Interlock Key With Plasma Se
Amat 0150-08851 Cable Assy  Interlock Key With Plasma Se
 150.95  
Amat 0150-12019 Cable Assy  Rs232 Ecmp Power Sup 2 Cmp L
Amat 0150-12019 Cable Assy  Rs232 Ecmp Power Sup 2 Cmp L
 120.95  
Amat 0150-10111 Cable Assy  9p To 9p  Uhp
Amat 0150-10111 Cable Assy  9p To 9p  Uhp
 24.95  
Amat 1950621 Smif-l Rs232 Cable Assy
Amat 1950621 Smif-l Rs232 Cable Assy
 19.95  
Amat 0150-22633 Cable Assy  Robot Rs232 Intcon Wl Ecp
Amat 0150-22633 Cable Assy  Robot Rs232 Intcon Wl Ecp
 86.95  
Amat 0150-04585 Cable Assy  Side Heater Contactor Contro
Amat 0150-04585 Cable Assy  Side Heater Contactor Contro
 18.95  
Amat 1951947 Inpn To Smi Cable Assy
Amat 1951947 Inpn To Smi Cable Assy
 110.95  
Amat 1950893 Ioc Rs232 Cable Assy
Amat 1950893 Ioc Rs232 Cable Assy
 13.95  
Amat 0150-02386 Cable Assy  Heater Ac Pwr  Anneal Ch1 Or
Amat 0150-02386 Cable Assy  Heater Ac Pwr  Anneal Ch1 Or
 76.95  
Amat 0150-00592 Cable Assy  Wafer Loader Smoke Detector
Amat 0150-00592 Cable Assy  Wafer Loader Smoke Detector
 90.95  
Amat 0150-35434 Cable Assy  Rf Match/ Chmbr Interconnect 31'
Amat 0150-35434 Cable Assy  Rf Match/ Chmbr Interconnect 31'
 75.95  
Amat 0227-27071 Cable assy Rf Gen Dc Pwr Intcnt 75 Ft
Amat 0227-27071 Cable assy Rf Gen Dc Pwr Intcnt 75 Ft
 120.95  
Amat 0150-04601 Cable Assy  I/o Power  Wafer Loader
Amat 0150-04601 Cable Assy  I/o Power  Wafer Loader
 71.95  
Amat 0150-20634 Cable Assy Photo I/o Short Extension
Amat 0150-20634 Cable Assy Photo I/o Short Extension
 12.95  
Amat 0150-03321 Cable Assy  Vme Sbc Scsi Dist.
Amat 0150-03321 Cable Assy  Vme Sbc Scsi Dist.
 66.95  
Amat 0150-76993 Cable Assy  Dual Freq Int To Panel
Amat 0150-76993 Cable Assy  Dual Freq Int To Panel
 13.95  
Amat 0150-03104 Cable Assy  Gnd  Iep Hot Pack  Dps
Amat 0150-03104 Cable Assy  Gnd  Iep Hot Pack  Dps
 11.95  
Applied Materials AMAT 0150-21447 CABLE ASSY WTR LEAK DTCT GEN INTCNE
Applied Materials AMAT 0150-21447 CABLE ASSY WTR LEAK DTCT GEN INTCNE
 199.00  
Amat 0150-07244 Cable Assy  Producer Etch Water Leak Det
Amat 0150-07244 Cable Assy  Producer Etch Water Leak Det
 58.95  
Applied Materials (AMAT) 0140-35384   HARNESS  ASSY   CHAMBER EXHAUST
Applied Materials (AMAT) 0140-35384 HARNESS  ASSY   CHAMBER EXHAUST
 809.95  
Amat 0150-76849 // Cable  Chb  Vacuum Line Heater Assy
Amat 0150-76849 // Cable  Chb  Vacuum Line Heater Assy
 125.00  
Amat 0150-10609 Assy  Cable  Power  Dpa
Amat 0150-10609 Assy  Cable  Power  Dpa
 24.95  
Amat 0150-15045 Cable Assy  H/e Intlk #7
Amat 0150-15045 Cable Assy  H/e Intlk #7
 59.95  
Applied Materials (AMAT) 0150-09110   CBL ASSY ROOTS VACCUM SWITCH
Applied Materials (AMAT) 0150-09110 CBL ASSY ROOTS VACCUM SWITCH
 104.95  
AMAT 800-30032-00 Limit Cable Assy 2x LIM-J4 round J20 25pins male connect 92231
AMAT 800-30032-00 Limit Cable Assy 2x LIM-J4 round J20 25pins male connect 92231
 37.90  
Amat 0150-02385 Cable Assy   Watlow Temp Cntrl  Ecp-anne
Amat 0150-02385 Cable Assy  Watlow Temp Cntrl  Ecp-anne
 34.95  
Amat  0620-07399 Cable Assy Bd9-m/f 15ft
Amat 0620-07399 Cable Assy Bd9-m/f 15ft
 119.00  
Amat 1951893 Hp Printer Power Cable Assy
Amat 1951893 Hp Printer Power Cable Assy
 30.95  
Amat 0150-22186 Cable Assy  Seriplex Signal Dist 3rd
Amat 0150-22186 Cable Assy  Seriplex Signal Dist 3rd
 28.95  
Amat 0150-01089 Cable Assy.  Pwr Supply Sense
Amat 0150-01089 Cable Assy.  Pwr Supply Sense
 19.95  
Amat 1950617 Sun Ethernet Cable Assy
Amat 1950617 Sun Ethernet Cable Assy
 21.95  
Amat 0150-09797 // Cable Chamber C28-line Heater Assy
Amat 0150-09797 // Cable Chamber C28-line Heater Assy
 497.00  
Amat 0021-77130 Seal Cover  Roller Assy  New
Amat 0021-77130 Seal Cover  Roller Assy  New
 30.00  
Amat 0140-11233 Harn Assy  Ground Strap  New
Amat 0140-11233 Harn Assy  Ground Strap  New
 20.00  
Amat 0150-10756 Cable Assy Coax Detector Rf Match
Amat 0150-10756 Cable Assy Coax Detector Rf Match
 22.95  
Amat 0150-a0043 Cable Network Assy
Amat 0150-a0043 Cable Network Assy
 18.95  
Amat-0021-11057-sst Seal Clamp head Assy  Pad Condition-new
Amat-0021-11057-sst Seal Clamp head Assy  Pad Condition-new
 299.00  
Applied Materials (AMAT) 3620-01394 CABLE  ASSEMBLY STP301 MTR-LGCNTRL-TO-PUMP
Applied Materials (AMAT) 3620-01394 CABLE  ASSEMBLY STP301 MTR-LGCNTRL-TO-PUMP
 1 079.95  
Amat 0040-09098 Blade Lamination Assy 8  Used
Amat 0040-09098 Blade Lamination Assy 8  Used
 1 000.00  
Amat 0010-09616r Assy  150mm Susceptor  Universal Chamber  New
Amat 0010-09616r Assy  150mm Susceptor  Universal Chamber  New
 3 700.00  
Applied Materials Amat 0150-25726 Cable Assy
Applied Materials Amat 0150-25726 Cable Assy
 500.00  
AMAT Applied Materials 0150-16278 CABLE ASSY  HR2 DRIVER MOTOR POWER NEW
AMAT Applied Materials 0150-16278 CABLE ASSY  HR2 DRIVER MOTOR POWER NEW
 555.00  
AMAT Applied Materials 0140-16348 Cable ASSY NEW
AMAT Applied Materials 0140-16348 Cable ASSY NEW
 298.00  
AMAT Applied Materials 0140-16351 Cable ASSY NEW
AMAT Applied Materials 0140-16351 Cable ASSY NEW
 298.00  
AMAT Applied Materials 0150-18533 Cable ASSY  NEW
AMAT Applied Materials 0150-18533 Cable ASSY NEW
 188.00  
AMAT Applied Materials 0150-14681 Cable Assy   MAG LEV Control JM New
AMAT Applied Materials 0150-14681 Cable Assy   MAG LEV Control JM New
 188.00  
AMAT Applied Materials 0140-13120 Harness ASSY   CH-A  Main Bundle   VANT NEW
AMAT Applied Materials 0140-13120 Harness ASSY   CH-A Main Bundle   VANT NEW
 1 688.00  
AMAT Applied Materials 0140-16346  HARNESS ASSY  MOTOR POWER CHMBR 300MM NEW
AMAT Applied Materials 0140-16346 HARNESS ASSY  MOTOR POWER CHMBR 300MM NEW
 255.00  
AMAT Applied Materials 0140-11539 Harness ASSY   Chamber B - Main Bundle VA NEW
AMAT Applied Materials 0140-11539 Harness ASSY   Chamber B - Main Bundle VA NEW
 1 688.00  
AMAT Applied Materials 0140-18336 Harness ASSY   Chamber A - Main Bundle V NEW
AMAT Applied Materials 0140-18336 Harness ASSY   Chamber A - Main Bundle V NEW
 1 688.00  
Applied Materials Amat 0090-04288 Harness Assy   Button Pane    New
Applied Materials Amat 0090-04288 Harness Assy   Button Pane   New
 666.00  
Applied Materials Amat 0090-06080 Harness Assy   Button Pane    New
Applied Materials Amat 0090-06080 Harness Assy   Button Pane   New
 666.00  
AMAT Applied Materials 0620-02383 Cable Assy RS-232 75' DB9-M/M EMI/RFI Hood
AMAT Applied Materials 0620-02383 Cable Assy RS-232 75' DB9-M/M EMI/RFI Hood
 250.00  
AMAT Applied Materials 0150-35002 Rev-P3  Monitor 30 Cable Assy.
AMAT Applied Materials 0150-35002 Rev-P3 Monitor 30 Cable Assy.
 150.00  
AMAT Applied Material 0150-20580 Mainframe Cable Assy. PHS Driver Out Motor Ph-2
AMAT Applied Material 0150-20580 Mainframe Cable Assy. PHS Driver Out Motor Ph-2
 500.00  
Cable (AMAT) 0140-09218 HARNESS ASSY CURRENT XFMR CHBR B EXTENDE
Cable (AMAT) 0140-09218 HARNESS ASSY CURRENT XFMR CHBR B EXTENDE
 159.50  
Cable Applied Materials (AMAT) 0090-02638 CABLE ASSY  PRIMARY IN-RUSH CURRENT LI
Cable Applied Materials (AMAT) 0090-02638 CABLE ASSY  PRIMARY IN-RUSH CURRENT LI
 49.50  
Cable (AMAT) 0140-09536 HARNESS ASSY POWER CORD  WXZ HEATER
Cable (AMAT) 0140-09536 HARNESS ASSY POWER CORD  WXZ HEATER
 71.50  
Applied Materials AMAT Flexible Assy. Hose -- 0010-30249 -- Used
Applied Materials AMAT Flexible Assy. Hose -- 0010-30249 -- Used
 250.00  
Applied Materials AMAT 0150-19948  CABLE ASSY  PAD HD UP EXT SENSOR  REFLEX NEW
Applied Materials AMAT 0150-19948  CABLE ASSY  PAD HD UP EXT SENSOR  REFLEX NEW
 68.00  
Applied Materials AMAT Flexible Assy. Hose 50' -- 0010-36556 -- New
Applied Materials AMAT Flexible Assy. Hose 50' -- 0010-36556 -- New
 250.00  
Applied Materials AMAT Flexible Assy. Hose -- 0190-13219 -- New
Applied Materials AMAT Flexible Assy. Hose -- 0190-13219 -- New
 150.00  
Cable (AMAT) 0150-04792  ASSY EBARA PUMP UMBILICAL 75 FT
Cable (AMAT) 0150-04792 ASSY EBARA PUMP UMBILICAL 75 FT
 165.00  
AMAT Applied Materials 0150-38461 Rev-A 5 Phase Driver Input Cable Assy P1to J?
AMAT Applied Materials 0150-38461 Rev-A 5 Phase Driver Input Cable Assy P1to J?
 50.00  
Cable (AMAT) 0150-10409 ASSY  EMO  PUMP INTFC-TO-PUMP INT
Cable (AMAT) 0150-10409 ASSY  EMO  PUMP INTFC-TO-PUMP INT
 41.80  
Cable (AMAT) 0150-12681 Harness ASSY  300mm PVD Clear Lid Jumper
Cable (AMAT) 0150-12681 Harness ASSY  300mm PVD Clear Lid Jumper
 60.50  
Applied Materials AMAT 0190-35861 6” Assy Flange QDC Clamp Ring New
Applied Materials AMAT 0190-35861 6” Assy Flange QDC Clamp Ring New
 99.99  
Cable Applied Materials (AMAT) 0150-20112 ASSY  EMO GENERATOR 1/2 INT.
Cable Applied Materials (AMAT) 0150-20112 ASSY  EMO GENERATOR 1/2 INT.
 49.50  
Amat 0620-01270  Cable Assy Snsr .062-bundle 72"l Interlc
Amat 0620-01270  Cable Assy Snsr .062-bundle 72"l Interlc
 2 119.00  
Applied Materials (AMAT) 0150-76390-NO   CABLE  ASSY.
Applied Materials (AMAT) 0150-76390-NO CABLE  ASSY.
 291.95  
More Other Semiconductor & PCB Manufacturing

PicClick
Search eBay Faster
Vintage 4" silicon wafer with Microprocessors - From 1980s and Case is Included
Top-Rated Plus Seller Vintage 4" silicon wafer with Microprocessors - From 1980s and Case is Included
 28.50  
silicon wafer 12” 300mm copper pattern reclaim
silicon wafer 12” 300mm copper pattern reclaim
 50.00  
silicon wafer 12” copper pattern reclaim 300mm in 13x13 frame
silicon wafer 12” copper pattern reclaim 300mm in 13x13 frame
 100.00  
Sapphire Window 2.500 X .040"  Sapphire Meller Optics Optical Bench
Sapphire Window 2.500 X .040" Sapphire Meller Optics Optical Bench
 50.00 0 Bids or   6d 22h
(2) Poor Quartz WINDOWS 3.500 X .250"  MELLER OPTICS OPTICAL BENCH
(2) Poor Quartz WINDOWS 3.500 X .250" MELLER OPTICS OPTICAL BENCH
 25.00  
NICE Quartz WINDOW 3.500 X .250"  MELLER OPTICS OPTICAL BENCH C18
NICE Quartz WINDOW 3.500 X .250" MELLER OPTICS OPTICAL BENCH C18
 25.00  
SSD BF-2ZA Winstat Air Ionizer ESD Neutralizer 24V w/ Adapter Shishido Japan
SSD BF-2ZA Winstat Air Ionizer ESD Neutralizer 24V w/ Adapter Shishido Japan
 149.00  
THK SSR-15W SSR15XW1SS(GK) Two USED
THK SSR-15W SSR15XW1SS(GK) Two USED
 45.00 0 Bids or   6d 22h
Adept 30356-10358 pci front panel with key
Adept 30356-10358 pci front panel with key
 50.00 0 Bids or   9d 21h
omron International gotcha stick 83050–0090 Rev C
omron International gotcha stick 83050–0090 Rev C
 10.00 0 Bids   6d 16h
Ruthenium oxide powder (RuO2) 31.1 g
Ruthenium oxide powder (RuO2) 31.1 g
 650.00  
ThermoCube 10-400-1D-1-R2-CP-AR-LT THERMOELECTRIC PELTIER CHILLER Solid State
ThermoCube 10-400-1D-1-R2-CP-AR-LT THERMOELECTRIC PELTIER CHILLER Solid State
 799.00  
Dalsa Line Scan Camera CL-C3-1728A-403M
Dalsa Line Scan Camera CL-C3-1728A-403M
 390.00  
Applied Materials AMAT MCVD Susceptor 0010-10277 6" T1 - Sealed  with Documents
Applied Materials AMAT MCVD Susceptor 0010-10277 6" T1 - Sealed  with Documents
 1 500.00  
Applied Materials AMAT Susceptor BWCVD 5000 T1 8" P/N 0010-09962 - Sealed
Applied Materials AMAT Susceptor BWCVD 5000 T1 8" P/N 0010-09962 - Sealed
 2 250.00  
Pro-face GP 577R-SC11 Graphic Panel TouchScreen Controller AS-IS
Pro-face GP 577R-SC11 Graphic Panel TouchScreen Controller AS-IS
 125.00  
Westinghouse 3cx1500a7 Rf Tube
Top-Rated Plus Seller Westinghouse 3cx1500a7 Rf Tube
 275.00  
Entegris HWS200-101A Horizontal Wafer Shipper 200MM New HWS200-101A-61C02M
Entegris HWS200-101A Horizontal Wafer Shipper 200MM New HWS200-101A-61C02M
 22.99  
Lot of 16pcs FCI DIN Type C Connector 86093968114755V1LF
Lot of 16pcs FCI DIN Type C Connector 86093968114755V1LF
 95.00  
COMMSIGNIA ITS-OB4-M Onboard Unit w/Power Cable/CAN-OBD2 Cable WORKING!
COMMSIGNIA ITS-OB4-M Onboard Unit w/Power Cable/CAN-OBD2 Cable WORKING!
 399.95  
Quartz Wafer Carrier / Boat 25 Pieces 150mm
Quartz Wafer Carrier / Boat 25 Pieces 150mm
 189.00  
WESTINGHOUSE 3CX1500A7 GENERATOR RF TUBE Full output
Top-Rated Plus Seller WESTINGHOUSE 3CX1500A7 GENERATOR RF TUBE Full output
 480.00  
Tei 459-5416-1  J Heater Open Electric Filament
Top-Rated Plus Seller Tei 459-5416-1  J Heater Open Electric Filament
 19.99  
MKS/HPS Instruments 901P-12 Loadlock Transducer RS485 Supply 9-30VDC Output 1-9V
Top-Rated Plus Seller MKS/HPS Instruments 901P-12 Loadlock Transducer RS485 Supply 9-30VDC Output 1-9V
 59.97  
Hamilton (81520) 5 mL  Model 1005 TLL SYR
Hamilton (81520) 5 mL  Model 1005 TLL SYR
 35.00  
WGFG06D31 / WAFERGARD IN-LINE FILTER 3/8" Flaretek fittings Mykrolis
WGFG06D31 / WAFERGARD IN-LINE FILTER 3/8" Flaretek fittings Mykrolis
 129.00  
Lot of 1pc MUPAC Connector Receptacle 3612251-01
Lot of 1pc MUPAC Connector Receptacle 3612251-01
 95.00  
PINNACLE ADVANCE ENERGY 12kw DC POWER SUPPLY 3152392-000
PINNACLE ADVANCE ENERGY 12kw DC POWER SUPPLY 3152392-000
 559.00  
Alcatel ATH 20/20 Turbo Pump and MKS 415591-G1 Assembly
Alcatel ATH 20/20 Turbo Pump and MKS 415591-G1 Assembly
 950.00  
5pcs Lot- CG75MS  MFR= Littlefuse  Gas discharge tubes  75VDC  20AAC  SMD
5pcs Lot- CG75MS  MFR= Littlefuse  Gas discharge tubes  75VDC  20AAC  SMD
 15.00  
Applied Digital Ocelot
Top-Rated Plus Seller Applied Digital Ocelot
 100.00  85.00  
NWL WB3278 Capacitor 15 800 uF 2.8 KVDC
NWL WB3278 Capacitor 15 800 uF 2.8 KVDC
 500.00  
HITACHI Power Solutions 2M252-03 MAGNETRON (2M252 Series) OEM *Brand New*
Top-Rated Plus Seller HITACHI Power Solutions 2M252-03 MAGNETRON (2M252 Series) OEM *Brand New*
 629.96  
Unit Instruments Ufc-8160 10 Sccm N2 Mass Flow Controller Stainless Steel Mvcr
Unit Instruments Ufc-8160 10 Sccm N2 Mass Flow Controller Stainless Steel Mvcr
 184.50  
6 Inch Single Wafer Carrier (pack Of 10)  Polypropylene  Clean Room Class 1 000
6 Inch Single Wafer Carrier (pack Of 10)  Polypropylene  Clean Room Class 1 000
 50.00  
Ethernet 930e 18-BB-930E PCI Ethernet Controller Card 32 bit
Ethernet 930e 18-BB-930E PCI Ethernet Controller Card 32 bit
 19.99 0 Bids   6d 10h
PTFE Fluoro Wafer Dipper 3.9649" I.D. ~ With Hold Down Screws ~ SM4WD2
Top-Rated Plus Seller PTFE Fluoro Wafer Dipper 3.9649" I.D. ~ With Hold Down Screws ~ SM4WD2
 239.03  
Tektronix p6417 logic analyzer probe/cable
Tektronix p6417 logic analyzer probe/cable
 62.99  59.00  
IWAKI EX-C45FF-100S27 Metering Pump
IWAKI EX-C45FF-100S27 Metering Pump
 149.01  74.51  
Dynatronix DPR20-30-100 Pulse Reversing Power Supply    (#3678)
Dynatronix DPR20-30-100 Pulse Reversing Power Supply (#3678)
 1 500.00  1 125.00  
Varian 953-5014 Copper Gasket  2"  Seal  CF Conflat Flange  418827
Varian 953-5014 Copper Gasket  2"  Seal  CF Conflat Flange  418827
 7.00  
Fusion Uv Systems  Inc. Curing Light Power Supply Model P 300mq
Fusion Uv Systems  Inc. Curing Light Power Supply Model P 300mq
 999.95  769.96  
Axcelis/Fusion 549501 Cable Assembly Emitter 3-Axis Robot
Axcelis/Fusion 549501 Cable Assembly Emitter 3-Axis Robot
 42.00  
1" Single wafer carrier  Floroware H22-10----Lot of 10
1" Single wafer carrier Floroware H22-10----Lot of 10
 19.00  
MKS Baratron Manometer Pressure Module 220BA-00001AZBSPCAL LOT - C
MKS Baratron Manometer Pressure Module 220BA-00001AZBSPCAL LOT - C
 75.00  
MKS Baratron Manometer Pressure Module 220BA-10000-ZB LOT - B
MKS Baratron Manometer Pressure Module 220BA-10000-ZB LOT - B
 75.00  
MKS Baratron Manometer Pressure Module 220BA-25000-2B LOT - A
MKS Baratron Manometer Pressure Module 220BA-25000-2B LOT - A
 75.00  
MKS Type 260/PS3 260 FS-3 Power Supply
MKS Type 260/PS3 260 FS-3 Power Supply
 95.00  
National Instruments NI-9853
National Instruments NI-9853
 1 295.00  
Airtrol Pressure Electric Switch F-4200-0.5
Airtrol Pressure Electric Switch F-4200-0.5
 47.49  
MKS Controller 252 Exhaust Valve Controller
MKS Controller 252 Exhaust Valve Controller
 125.00  
MKS Controller 244A Pressure Flow Controller
MKS Controller 244A Pressure Flow Controller
 125.00  
A19-01-0215 Entegris / Fluoroware "Labware" 9.5~50mm (.375~2") 40 Slot  Teflon
A19-01-0215 Entegris / Fluoroware "Labware" 9.5~50mm (.375~2") 40 Slot  Teflon
 95.00  
Vicor FlatPAC VI-LUL-CU-CC Autoranging AC-DC Switcher Switching Power Supply
Vicor FlatPAC VI-LUL-CU-CC Autoranging AC-DC Switcher Switching Power Supply
 450.00  337.50  
ASTeX AX7610SAPP7 PLASMA APPLICATOR W/338 MM SAPPHIRE TUBE
ASTeX AX7610SAPP7 PLASMA APPLICATOR W/338 MM SAPPHIRE TUBE
 4 900.00  
RKC DB-48B1C-M Series RE-48 Temperature Controller
RKC DB-48B1C-M Series RE-48 Temperature Controller
 96.80  
Imtec Accubath QZ-A1502-18 A Model 10-000-0383 19.5" L x 11.5" W x16" T NEW
Imtec Accubath QZ-A1502-18 A Model 10-000-0383 19.5" L x 11.5" W x16" T NEW
 650.00  
Imtec Accubath QZ-A1502-18 A Model 10-000-0383 19.5" L x 11.5" W x16" T
Imtec Accubath QZ-A1502-18 A Model 10-000-0383 19.5" L x 11.5" W x16" T
 650.00  
Niobium sputtering target- 1.00" x 0.125"  99.95% pure
Niobium sputtering target- 1.00" x 0.125"  99.95% pure
 120.00  
8 inch (200 mm) silicon wafers polished solar mechanical epi semiconductor
8 inch (200 mm) silicon wafers polished solar mechanical epi semiconductor
 550.00  
Kovar ASTM-F-15  12x16” .010” .254mm Ed Fagan/NEA sourced aerospace quality
Kovar ASTM-F-15  12x16” .010” .254mm Ed Fagan/NEA sourced aerospace quality
 100.00  
ADE KLA-Tencor GPI 3 mod PCB P.N. S24644-02 rev. 3
ADE KLA-Tencor GPI 3 mod PCB P.N. S24644-02 rev. 3
 120.00  
National Instruments NI-9211
National Instruments NI-9211
 300.00  
Total Phase Aardvark I2C/SPI Host Adapter
Total Phase Aardvark I2C/SPI Host Adapter
 95.00  
Swagelok SS-4-VCR-1-4 SS-4-VCR VCR Fitting Adapter Brand NEW
Swagelok SS-4-VCR-1-4 SS-4-VCR VCR Fitting Adapter Brand NEW
 12.99  
401-0302// Mac 45a-ba1-ddca-1ba Valve New
401-0302// Mac 45a-ba1-ddca-1ba Valve New
 69.00  
Brooks Automation 148301 Main IV Board PCB 173277 Used Working
Brooks Automation 148301 Main IV Board PCB 173277 Used Working
 1 006.18  
Lot of 4 Applied Materials CU-CL-0041-59833 RIOSPIRAL Manifold
Lot of 4 Applied Materials CU-CL-0041-59833 RIOSPIRAL Manifold
 500.00  
PTFE Fluoro Wafer Dipper 3.97" I.D. ~ SM4WD1
Top-Rated Plus Seller PTFE Fluoro Wafer Dipper 3.97" I.D. ~ SM4WD1
 199.03  
Horiba Stec CNL-06 Used Symphony Logic Network Interface CNL06 29492
Horiba Stec CNL-06 Used Symphony Logic Network Interface CNL06 29492
 120.49  
Granville Phillips 307 Dual Thermocouple Gauge Cable 10ft Long 307054 *OPEN BOX!
Granville Phillips 307 Dual Thermocouple Gauge Cable 10ft Long 307054 *OPEN BOX!
 219.97  
Applied Materials TXZ 300-PCHFRKCU CL-CU Chamber Insert
Applied Materials TXZ 300-PCHFRKCU CL-CU Chamber Insert
 545.00  
Matsushita AH322261 Limit - Micro Switch 3a 250 vac
Matsushita AH322261 Limit - Micro Switch 3a 250 vac
 7.99  
Genmark RP060002 Wafer Pre-Aligner Robot
Genmark RP060002 Wafer Pre-Aligner Robot
 3 499.00  
Advanced Energy Apex 5513 Generator (3156116-002) *NEW*
Advanced Energy Apex 5513 Generator (3156116-002) *NEW*
 7 499.99  
Applied Materials AMAT 0040-34815 2-Port EPI 30OMM Inject Cap
Applied Materials AMAT 0040-34815 2-Port EPI 30OMM Inject Cap
 1 700.00  
BIAS TEE / SURF MT / RoHS | JEBT-4R2GW+ | Mini-Circuits / RoHS Lot 3  New
BIAS TEE / SURF MT / RoHS | JEBT-4R2GW+ | Mini-Circuits / RoHS Lot 3  New
 45.00 0 Bids 6d 14h
405-0101// Rockwell Automation Csmt-01bb1ant3 (unclean) Motor [used/fast]
405-0101// Rockwell Automation Csmt-01bb1ant3 (unclean) Motor [used/fast]
 100.00  
brand new
brand new
 0.99 0 Bids or   6d 10h
Asyst 4001-1354-01  SMIF Pod  Wafer Cassette  150mm  Hoop 402866
Asyst 4001-1354-01  SMIF Pod  Wafer Cassette  150mm  Hoop 402866
 125.00  
ISO100  NW100  4" Bored Flanged  304 Stainless Steel  Vacuum Grade
ISO100  NW100  4" Bored Flanged  304 Stainless Steel  Vacuum Grade
 50.00  
Applied Materials Inc 0020-89827 ALD/CVD 300MM Endura2 Shield Outer
Applied Materials Inc 0020-89827 ALD/CVD 300MM Endura2 Shield Outer
 6 000.00  
LT3045+ LT3094 positive and negative voltage RF RF regulated linear power supply
LT3045+ LT3094 positive and negative voltage RF RF regulated linear power supply
 26.88  24.19  
MSFX16006-01 Stepper Motor Driver
MSFX16006-01 Stepper Motor Driver
 250.00  
6 Inch Teflon Cassette PFA FLUOROWARE AI82-60MU PFA Wafer Cassette
6 Inch Teflon Cassette PFA FLUOROWARE AI82-60MU PFA Wafer Cassette
 195.00  
Buhner & Schaible Contactor  N81
Buhner & Schaible Contactor N81
 39.99  27.99  
ULVAC GI-M2 Ionization Vacuum Gauges conrtoller
ULVAC GI-M2 Ionization Vacuum Gauges conrtoller
 499.00  
Veeco Ion Tech GFC-1000 tested Works
Veeco Ion Tech GFC-1000 tested Works
 999.99  
TEL Tokyo Electron Limited 3D10-202413-V1 TOP C-CE IEPD Baffle
TEL Tokyo Electron Limited 3D10-202413-V1 TOP C-CE IEPD Baffle
 700.00  
Entegris H20-551 9-Slot 2" Wafer Chip Tray and Cover - Bare Die CSP LOT OF 10
Entegris H20-551 9-Slot 2" Wafer Chip Tray and Cover - Bare Die CSP LOT OF 10
 78.75  45.88  
Delta Tau Amat Acc-24e2a Turbo Analog Card 4-axis Interface
Delta Tau Amat Acc-24e2a Turbo Analog Card 4-axis Interface
 200.00  
Keithley 2401 Source Meter *used working
Keithley 2401 Source Meter *used working
 3 500.00  2 450.00  
Simco 5200-IM6T-V3.0 Ionizer Interface Module
Top-Rated Plus Seller Simco 5200-IM6T-V3.0 Ionizer Interface Module
 35.00  
Swagelok SS-FJ8TA8TA836 36" 316L 1/2" Stainless Steel Convoluted Braided Hose
Top-Rated Plus Seller Swagelok SS-FJ8TA8TA836 36" 316L 1/2" Stainless Steel Convoluted Braided Hose
 49.99  
Trebor 98002740 Check Ball PTFE 1-1/8 950/960 Package of 4 Check Balls
Trebor 98002740 Check Ball PTFE 1-1/8 950/960 Package of 4 Check Balls
 45.00  
Raritan APSUSB USB Converter Convert USB Port To PS/2 Keyboard Guardian
Raritan APSUSB USB Converter Convert USB Port To PS/2 Keyboard Guardian
 19.99  
Eaton - Cutler Hammer Universal End Stops (50 PACK) XBAES35C
Eaton - Cutler Hammer Universal End Stops (50 PACK) XBAES35C
 79.99  
KF40 x 6.1875"L Straight Nipple
KF40 x 6.1875"L Straight Nipple
 12.00  
^^ Mks Pr4000b Digital Power Supply (sma5)
Top-Rated Plus Seller ^^ Mks Pr4000b Digital Power Supply (sma5)
 1 000.00  750.00  
Fullam Sputter Coater 18900-792-001  (gw33)
Top-Rated Plus Seller Fullam Sputter Coater 18900-792-001 (gw33)
 1 000.00  750.00  
H145190 Loctite ZETA 7735 UV Wand System 98317A
H145190 Loctite ZETA 7735 UV Wand System 98317A
 620.50  341.27  
RF HIPOTRONICS HUBBELL 70kV CAPACITOR DISCHARGE FAULT LOCATOR CF70-24-A(#3539)
RF HIPOTRONICS HUBBELL 70kV CAPACITOR DISCHARGE FAULT LOCATOR CF70-24-A(#3539)
 10 000.00  7 500.00  
Applied Materials AMAT 7800 Epitaxial Reactors w/Control Console & Cables!!!
Applied Materials AMAT 7800 Epitaxial Reactors w/Control Console & Cables!!!
 250 000.00  
Kepco Bop 100-1m Bipolar Power Supply / Amplifier   (qc29)
Top-Rated Plus Seller Kepco Bop 100-1m Bipolar Power Supply / Amplifier (qc29)
 500.00  375.00  
Oga 4022 296 0123
Oga 4022 296 0123
 2 150.00  
Addison Engineering Dk-087783 Wafers 3.0in P-type 4-30 Ohm/cm 777 - Qty 25
Addison Engineering Dk-087783 Wafers 3.0in P-type 4-30 Ohm/cm 777 - Qty 25
 80.00  20.00  
KOSTAT KS-8201 MQFP 28mm X 28mm BLACK CPU TRAYS (LOT OF 100)
Top-Rated Plus Seller KOSTAT KS-8201 MQFP 28mm X 28mm BLACK CPU TRAYS (LOT OF 100)
 50.00  30.00  
10 DISCO DICING SAW DRESSER BOARD BGCA 0113 75 X 75 X 1.0mm 10 pcs
10 DISCO DICING SAW DRESSER BOARD BGCA 0113 75 X 75 X 1.0mm 10 pcs
 30.00  
DNS Auto Drain Controller  CECC-3011
DNS Auto Drain Controller  CECC-3011
 375.00  
Rame Hart 100-00-115  OLYMPUS TGHM
Rame Hart 100-00-115 OLYMPUS TGHM
 2 999.99  
Hilscher GmbH CIF50-DNM DeviceNet PCI Card VER.1.5 free ship
Hilscher GmbH CIF50-DNM DeviceNet PCI Card VER.1.5 free ship
 95.00  
SCHUMACHER VG600W PSU  POWER SUPPLY  For VAPORGUARD  CONTROL ATCS PN:10-1279
SCHUMACHER VG600W PSU POWER SUPPLY For VAPORGUARD CONTROL ATCS PN:10-1279
 499.00  
Matheson nanochem series P purifier 16000 16 liter ammonia
Matheson nanochem series P purifier 16000 16 liter ammonia
 1 000.00  
Hipotronics Hv-dc Power Supply Model 8200-5 (#3612)
Hipotronics Hv-dc Power Supply Model 8200-5 (#3612)
 1 500.00  1 125.00  
^^ Sorensen DC Power Supply PRO600-16T   (#3665)
^^ Sorensen DC Power Supply PRO600-16T (#3665)
 4 500.00  3 375.00  
^^ Elgar Sw  5250 Sw5250  Power Supply P/n 5162000-01 (js33)
^^ Elgar Sw 5250 Sw5250 Power Supply P/n 5162000-01 (js33)
 8 500.00  6 375.00  
Entegris Fluroware Integra Pneumatic Valve 1/2" Orifice 2-way  202-66-01
Entegris Fluroware Integra Pneumatic Valve 1/2" Orifice 2-way 202-66-01
 49.99  44.99  
PL 7901 Extender Card Assy 100108 Rev   D/C B227977 Free Shipping!
PL 7901 Extender Card Assy 100108 Rev D/C B227977 Free Shipping!
 29.99  
OLYMPUS IMH-10 30 Day Warranty
OLYMPUS IMH-10 30 Day Warranty
 800.00  
4 Inch Teflon Cassette PFA FLUOROWARE AI82-39MLBY PFA Wafer Cassette
4 Inch Teflon Cassette PFA FLUOROWARE AI82-39MLBY PFA Wafer Cassette
 155.00  
Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
 2 508.17  1 254.08  
VLSI Standards RS 3-0.01 Resistivity Standard 76.2mm
Top-Rated Plus Seller VLSI Standards RS 3-0.01 Resistivity Standard 76.2mm
 400.00  
Laser Identification Systems 6050021 SBC Single Board Computer PCB Card Lumonics
Laser Identification Systems 6050021 SBC Single Board Computer PCB Card Lumonics
 1 212.18  
Ohaus TS400D Precision Standard Electronic Balance WORKING
Ohaus TS400D Precision Standard Electronic Balance WORKING
 199.99  179.99  
Pcb Assy  Analog Sync Detect Amat 0100-20066 / 0100-02016 Equivalent *usa Seller
Pcb Assy  Analog Sync Detect Amat 0100-20066 / 0100-02016 Equivalent *usa Seller
 772.90  
XAAR PLC Cambridge 5552042 XUSB PSU Power Supply with 14 day warranty
XAAR PLC Cambridge 5552042 XUSB PSU Power Supply with 14 day warranty
 950.00  
Communications SpecialitiesTwin Split VGA  Item 1302
Communications SpecialitiesTwin Split VGA Item 1302
 12.50  
MILLIPORE WAFERGARD MYKROLIS FILTER WGFV16D21  .1uM
MILLIPORE WAFERGARD MYKROLIS FILTER WGFV16D21 .1uM
 129.00  
Omnimetrix G8500 Wireless Remote Monitor System Power Generation. JHC8
Omnimetrix G8500 Wireless Remote Monitor System Power Generation. JHC8
 75.00  
Dual Remote Plasma Source (RPS) Supporter Coupler  P/N: 0040-53452 - NEW by AMAT
Top-Rated Plus Seller Dual Remote Plasma Source (RPS) Supporter Coupler  P/N: 0040-53452 - NEW by AMAT
 249.95  
Fusion Uv Systems  Inc. Curing Light Power Supply Model P 300m
Fusion Uv Systems  Inc. Curing Light Power Supply Model P 300m
 595.00  
^^ Agilent Model X3502-64003 Twistorr 84fs Pump -new? (sma3)
Top-Rated Plus Seller ^^ Agilent Model X3502-64003 Twistorr 84fs Pump -new? (sma3)
 1 500.00  1 125.00  
UHF sleeve connector Long Advanced Energy P/N:3052078
UHF sleeve connector Long Advanced Energy P/N:3052078
 70.00  
3100  Polypropylene Chemical Container
3100 Polypropylene Chemical Container
 150.00  
Conductus iMC-303 iMAG Multichannel SQUID Controller
Conductus iMC-303 iMAG Multichannel SQUID Controller
 95.00  
3103  Polypropylene Chemical Container
3103 Polypropylene Chemical Container
 150.00  
OHMICRON RPA-I Photometric Analyzer
OHMICRON RPA-I Photometric Analyzer
 54.00  
Fluoroware E124-60 6" 150mm Robox Silicon Wafer Case A196-60ML 25 Slot Cassette
Fluoroware E124-60 6" 150mm Robox Silicon Wafer Case A196-60ML 25 Slot Cassette
 25.00  
Labsphere Large Diameter Light Measurement Sphere CA-10834-000   CSLMS-6511 TOCS
Labsphere Large Diameter Light Measurement Sphere CA-10834-000   CSLMS-6511 TOCS
 10 550.00  
Fusion Uv Systems  Inc. Curing Light Power Supply Model P 300mq
Fusion Uv Systems  Inc. Curing Light Power Supply Model P 300mq
 595.00  
Metronelec Manisco ST78 Solderability Wettability Tester
Top-Rated Plus Seller Metronelec Manisco ST78 Solderability Wettability Tester
 2 499.99  
VLSI Standards RS 3-0.3 Resistivity Standard 76.2mm
Top-Rated Plus Seller VLSI Standards RS 3-0.3 Resistivity Standard 76.2mm
 400.00  
Cascade Microtech RF East-West Magnet Base Probe With RPP304 204 & Longer  Arm
Cascade Microtech RF East-West Magnet Base Probe With RPP304 204 & Longer Arm
 1 325.00  
K-Space Associates KSA PCB Controller
K-Space Associates KSA PCB Controller
 80.00  
03601-96-080 / Flat Panel 2 X 40 / Iee
03601-96-080 / Flat Panel 2 X 40 / Iee
 120.82  
TDK RKE48-32R A Power Supply 48VDC 19.2A 32A Nikon NSR-S307E Used Working
TDK RKE48-32R A Power Supply 48VDC 19.2A 32A Nikon NSR-S307E Used Working
 912.18  
YUYAO XIANKE TCG-6131P TEMPERATURE CONTROLLER 220VAC 50Hz
YUYAO XIANKE TCG-6131P TEMPERATURE CONTROLLER 220VAC 50Hz
 55.00  
OC OERLIKON BALZERS COATING 12mm KUEHLPLATTE TARGET 102124758
OC OERLIKON BALZERS COATING 12mm KUEHLPLATTE TARGET 102124758
 3 000.00  
Cupro Nickel 80/20 Wire
Cupro Nickel 80/20 Wire
 30.00  
Opto 22 AC37 ISA Bus High Speed Serial Co-processor  409675
Opto 22 AC37 ISA Bus High Speed Serial Co-processor  409675
 550.00  
Amat - 0020-13958 Finger  8" Hthu Heater - Second Source New
Amat - 0020-13958 Finger  8" Hthu Heater - Second Source New
 100.00  
STEC Inc. EC-3140 Exhaust Controller  50 mmH2O
STEC Inc. EC-3140 Exhaust Controller  50 mmH2O
 399.99  
New Phasetronics P1050-50 Power Control System
New Phasetronics P1050-50 Power Control System
 199.00  
New ASM ASSEMBLY SYSTEMS LP ILLUMINATION 00316823-03 w/ warranty Free Shipping
New ASM ASSEMBLY SYSTEMS LP ILLUMINATION 00316823-03 w/ warranty Free Shipping
 69.99  
Ase Amv1000-cis Rf Match
Ase Amv1000-cis Rf Match
 550.00  
Advantest R6552T-R Digital Multimeter
Advantest R6552T-R Digital Multimeter
 99.00  
Nikon 200mm Wafer Indexer Lift Assembly OPTISTATION 3 Inspection System Used
Nikon 200mm Wafer Indexer Lift Assembly OPTISTATION 3 Inspection System Used
 362.18  
Lumonics Wafermark II
Lumonics Wafermark II
 27 800.00  
Asymtek D-553
Asymtek D-553
 16 999.00  
Bellows   Kf50 Flex Line 36"
Bellows Kf50 Flex Line 36"
 39.00  
Quartz Boat 4 Inch 25 Pieces Wafers
Quartz Boat 4 Inch 25 Pieces Wafers
 199.00  
5734  Electro-Optical System NTM500-C  A670-100-1011  27-131089-02
5734 Electro-Optical System NTM500-C  A670-100-1011  27-131089-02
 1 800.00  
Centering Ring 72.6MM/54.1MM per each
Centering Ring 72.6MM/54.1MM per each
 2.90  
Nikon 4S015-001-1 I PCB assembly NK862
Nikon 4S015-001-1 I PCB assembly NK862
 2 699.99  
NSK Linear Guide for DNS 629
NSK Linear Guide for DNS 629
 200.00  
MKS Pressure Controller w/  Mass Flow Meter PPCMA-32898  100 SCCM He
MKS Pressure Controller w/  Mass Flow Meter PPCMA-32898 100 SCCM He
 99.99  
NESLAB Chiller
NESLAB Chiller
 1 000.00  
Novazonic Model SQ10 Temperature Controlled "Piranha" Quartz Bath  Rebuilt
Novazonic Model SQ10 Temperature Controlled "Piranha" Quartz Bath  Rebuilt
 725.00  580.00  
TAZMO Temp Controller Module from Semix TR6132U
TAZMO Temp Controller Module from Semix TR6132U
 1 950.00  
Glemco 30120 Insulator Filament Setech MR00063259 (Pack Of 2)
Glemco 30120 Insulator Filament Setech MR00063259 (Pack Of 2)
 19.99  
Horibastec Criterion MFC Digital Mass Flow Module D519MG N2 MG-07
Horibastec Criterion MFC Digital Mass Flow Module D519MG N2 MG-07
 199.99  
CVC Vacuum Controller  AVC 485
Top-Rated Plus Seller CVC Vacuum Controller AVC 485
 1 500.00  
HoribaSTEC - Criterion - Digital Mass Flow Module - D517J - P/N:301-110034-010
Top-Rated Plus Seller HoribaSTEC - Criterion - Digital Mass Flow Module - D517J - P/N:301-110034-010
 358.87  
LAM Baffle Model 715-11901-006 REV 3 UPPER AND LOWER BAFFLE
LAM Baffle Model 715-11901-006 REV 3 UPPER AND LOWER BAFFLE
 200.00  
Nikon 4S008-004 Interface Board PCB STGX22A NSR-S204B System Used Working
Nikon 4S008-004 Interface Board PCB STGX22A NSR-S204B System Used Working
 1 210.17  
TAZMO Main Controller Module from Semix TR6132U
TAZMO Main Controller Module from Semix TR6132U
 1 950.00  
4647  Millipore SH6M037R9 Pump Dispenser
4647 Millipore SH6M037R9 Pump Dispenser
 750.00  
Tokin Lf-260n Noise Filter   Used
Tokin Lf-260n Noise Filter   Used
 60.00  
New TRU TRU-1211-GNX RF CONNECTOR straight adaptor QRM to QC 50OHM
New TRU TRU-1211-GNX RF CONNECTOR straight adaptor QRM to QC 50OHM
 29.99  
L-10CS   O-ring  door seal  For Branson/IPC Plasma Asher Door
L-10CS   O-ring  door seal For Branson/IPC Plasma Asher Door
 225.00  
Tokyo Keiso SFC-450 Ultrasonic Flowmeter Used Working
Tokyo Keiso SFC-450 Ultrasonic Flowmeter Used Working
 155.15  
STEC Inc. EC-3140 Exhaust Controller  50 mmH2O
STEC Inc. EC-3140 Exhaust Controller  50 mmH2O
 399.99  
AMAT 0190-07450 REV.005 Digital I/O  PCB
AMAT 0190-07450 REV.005 Digital I/O PCB
 499.00  
Varain Multipin (10) Electrical Feedthru Qty 3 NOS? 954-5164
Varain Multipin (10) Electrical Feedthru Qty 3 NOS? 954-5164
 100.00  
Teledyne Db-20 / Db20 Pressure 5.1 Microns
Top-Rated Plus Seller Teledyne Db-20 / Db20 Pressure 5.1 Microns
 550.00  
Siemens Fxd63b100 100amp 3poles Main Breaker. used
Siemens Fxd63b100 100amp 3poles Main Breaker. used
 400.00  
Cole Parmer Masterflex Solid State Speed Control
Cole Parmer Masterflex Solid State Speed Control
 75.00  
RSF-Elektronik ZEV-25-KA [2*P-59.5]
RSF-Elektronik ZEV-25-KA [2*P-59.5]
 100.00  
Edwards B27158143 ISO 250 Trapped "O" Ring Viton
Edwards B27158143 ISO 250 Trapped "O" Ring Viton
 85.00  
Kokusai CX1103M Power Supply/Inverter D3E01317 Used Working
Kokusai CX1103M Power Supply/Inverter D3E01317 Used Working
 151.17  
For Asm 02-326695d01 Assy- Thermocouple- Front / Rear
For Asm 02-326695d01 Assy- Thermocouple- Front / Rear
 299.99  
Accufiber 100c Board Ana 552
Accufiber 100c Board Ana 552
 750.00  
Applied Ceramics 91-01721A Wall Cover AMAT 0200-40158 New
Applied Ceramics 91-01721A Wall Cover AMAT 0200-40158 New
 1 501.12  
Bronkhorst Bright IP65 T-connector
Bronkhorst Bright IP65 T-connector
 99.00  
Farrand Controls PCB Circuit Board Part# 213039-1
Farrand Controls PCB Circuit Board Part# 213039-1
 188.29  
123-0302// Amat Applied 0020-20340 Door Transfer Slit Valve [used]
123-0302// Amat Applied 0020-20340 Door Transfer Slit Valve [used]
 200.00  
Furon Saint Gobain 1112376 3 Way Slurry Manifold Mixer Assembly
Furon Saint Gobain 1112376 3 Way Slurry Manifold Mixer Assembly
 499.99  
SEMIX TAZMO AC Servo Unit from TR6132U
SEMIX TAZMO AC Servo Unit from TR6132U
 1 250.00  
CIRCUIT SPECIALISTS 3646A Programmable DC Power Supply 0-72V/01.5A  (UBI37)
Top-Rated Plus Seller CIRCUIT SPECIALISTS 3646A Programmable DC Power Supply 0-72V/01.5A (UBI37)
 150.00  112.50  
Stanford Research Systems Srs Rga 100 Residual Gas Analyzer  (qc15)
Top-Rated Plus Seller Stanford Research Systems Srs Rga 100 Residual Gas Analyzer (qc15)
 1 500.00  1 125.00  
*NEW* Comtrol 94100-2 Interface Module RocketPort 16 Ports RS-232 + Warranty!
*NEW* Comtrol 94100-2 Interface Module RocketPort 16 Ports RS-232 + Warranty!
 225.00  
Gamma High Voltage Power Supply- Model Rr50-6n/bp/rcp50/m160 (yd10)
Gamma High Voltage Power Supply- Model Rr50-6n/bp/rcp50/m160 (yd10)
 250.00  187.50  
^^ Matheson Nanochem Argon Purifier - Omx - New Never Installed   (ix18)
^^ Matheson Nanochem Argon Purifier - Omx - New Never Installed (ix18)
 2 000.00  1 500.00  
CoorsTek D-612-001 Insulator Ceramic Disc COPPER ID 15" X 4.375" ID X .75" THICK
CoorsTek D-612-001 Insulator Ceramic Disc COPPER ID 15" X 4.375" ID X .75" THICK
 35.00  
2804 Aviza 2012706-001 Gas Distributor Showerhead
2804 Aviza 2012706-001 Gas Distributor Showerhead
 1 400.00  
Genmark Gencobot LED KIT wafer semicon automation prealigner standalone
Genmark Gencobot LED KIT wafer semicon automation prealigner standalone
 500.00  
Mdvhx-100b Heated / Throttle Valve Heated  With Exchange / Tylan
Mdvhx-100b Heated / Throttle Valve Heated With Exchange / Tylan
 6 500.00  
MDX-L AE Advanced Energy 3152334-000 B Interface Monitor Display Panel Used
MDX-L AE Advanced Energy 3152334-000 B Interface Monitor Display Panel Used
 505.18  
Murr Elektronik MCS-B5-110-240/24 Power Supply
Murr Elektronik MCS-B5-110-240/24 Power Supply
 50.00  
Telepacific Electronics Co. Model Tpp-18 Capacitor Dissipation Factor % Tester
Telepacific Electronics Co. Model Tpp-18 Capacitor Dissipation Factor % Tester
 495.00  
Interloop Temperature I/O Card Module 237/238
Interloop Temperature I/O Card Module 237/238
 44.99  
aslap impregnated laps.  1200 Mesh Size 6 DL
aslap impregnated laps. 1200 Mesh Size 6 DL
 200.00  
Bhk Inc. Analamp Uv Power Supply  Model 90-0010
Bhk Inc. Analamp Uv Power Supply  Model 90-0010
 98.00  
HP Hewlett Packard 6267B DC Power Supply 0-40V 0-10A   (RY22)
HP Hewlett Packard 6267B DC Power Supply 0-40V 0-10A (RY22)
 200.00  150.00  
Anatech SCE-150 Plasma Reactor Quartz Barrel Etcher Asher Etch Cleaner System
Anatech SCE-150 Plasma Reactor Quartz Barrel Etcher Asher Etch Cleaner System
 7 500.00  
Alber Continuous Load Unit  8n Clu / 8n-s    (#3677)
Alber Continuous Load Unit 8n Clu / 8n-s (#3677)
 2 000.00  1 500.00  
Brad Harrison 290911A Tee Connector NNB
Top-Rated Plus Seller Brad Harrison 290911A Tee Connector NNB
 15.97  
MCG 2231-me3055 motor w/ gurley 8225-6000-CQQA encoder
MCG 2231-me3055 motor w/ gurley 8225-6000-CQQA encoder
 1 250.00  
span pressure switch 1864363
span pressure switch 1864363
 50.00  
DELTA TAU 16-AXIS MACRO CPU PCBA 3x0-603719 603719-107 604170-100 603719
Top-Rated Plus Seller DELTA TAU 16-AXIS MACRO CPU PCBA 3x0-603719 603719-107 604170-100 603719
 289.99  
Platform Assy  Unload   5885-0005-0001
Platform Assy Unload 5885-0005-0001
 250.00  
Entegris WTA6-12PFN-1 PFA Straight Adapter 3/4" X 3/8" Flaretek Fitting
Top-Rated Plus Seller Entegris WTA6-12PFN-1 PFA Straight Adapter 3/4" X 3/8" Flaretek Fitting
 39.99  
Kaiser Systems Inc HVPS Controller 80-50 p/n 1100003
Kaiser Systems Inc HVPS Controller 80-50 p/n 1100003
 4 999.00  
Kaman Model SMU-9000-15N  PN. 854294-024 Sensor
Kaman Model SMU-9000-15N  PN. 854294-024 Sensor
 500.00  
NEOS PCAOM - Model 48058-2.5-.55 - 10W or 15W
NEOS PCAOM - Model 48058-2.5-.55 - 10W or 15W
 499.00  
MACTRONIX AFF-500 Flat Aligner
MACTRONIX AFF-500 Flat Aligner
 49.00  
Kalrez O-Ring K#115 Compound 4079
Kalrez O-Ring K#115 Compound 4079
 24.44  
Bruce Systems 7355X Control Unit
Bruce Systems 7355X Control Unit
 850.00  
Hewlett Packard HP 6268B DC Power Supply (0-40V/0-30A)   (RY24)
Hewlett Packard HP 6268B DC Power Supply (0-40V/0-30A) (RY24)
 200.00  150.00  
Varían Titanium Sublimation Pump Control Unit Model 922-0032 UNTESTED AS IS #SA
Varían Titanium Sublimation Pump Control Unit Model 922-0032 UNTESTED AS IS #SA
 310.95  217.67  
^^ SPELLMAN RHR250W Power Supply- RHR30PN240/RVC/TP/FG/OL 0-30KVDC 8mA   (JF20)
Top-Rated Plus Seller ^^ SPELLMAN RHR250W Power Supply- RHR30PN240/RVC/TP/FG/OL 0-30KVDC 8mA (JF20)
 1 500.00  1 125.00  
347-0201  Amat Applied 0020-23234 Bracket Left 150mm Cassette
347-0201 Amat Applied 0020-23234 Bracket Left 150mm Cassette
 99.99  74.99  
Bettcher Process Services A60rd
Bettcher Process Services A60rd
 19 950.00  
Nidec Copal PZ-30-NVST Pressure Indicator
Nidec Copal PZ-30-NVST Pressure Indicator
 69.99  
Nikon 4S015-197 Processor PCB Card NK386SX4-NSC NSR-S204B Step-and-Repeat Used
Nikon 4S015-197 Processor PCB Card NK386SX4-NSC NSR-S204B Step-and-Repeat Used
 3 008.17  1 504.08  
Newport 932-CX Liquid Crystal Controller
Newport 932-CX Liquid Crystal Controller
 199.99  
176-0202// Veriflo Tdr450w3pv3fsmmm Regulator [used]
176-0202// Veriflo Tdr450w3pv3fsmmm Regulator [used]
 200.00  
Edwards B27158143 ISO 250 Trapped "O" Ring Viton
Edwards B27158143 ISO 250 Trapped "O" Ring Viton
 95.00  
Asymtek Tactile Cable Height Sensor 06-4590-00 rev.C HS-01354
Top-Rated Plus Seller Asymtek Tactile Cable Height Sensor 06-4590-00 rev.C HS-01354
 299.99  209.99  
Motorola Mvme 162-01 Mvme16201
Motorola Mvme 162-01 Mvme16201
 2 225.00  
TRE Aperture Mask For Photolithograpy
TRE Aperture Mask For Photolithograpy
 750.00  
Ultratech Stepper 03-20-00933-03 Switching Power Supply Rev. A1 PCB Card 4700
Ultratech Stepper 03-20-00933-03 Switching Power Supply Rev. A1 PCB Card 4700
 406.18  
Amat 0040-07256 Upper Platen   Used
Amat 0040-07256 Upper Platen   Used
 2 900.00  
Iris A513 Rev C Circuit Board
Iris A513 Rev C Circuit Board
 19.00  
Brad Harrison 300911A Tee Connector NNB
Top-Rated Plus Seller Brad Harrison 300911A Tee Connector NNB
 19.97  
ATMI Vector Ultra 5001 Gas Scrubber  Used
ATMI Vector Ultra 5001 Gas Scrubber  Used
 1 900.00  
VAT 62034-KA18-1005 Angle Isolation Valve
VAT 62034-KA18-1005 Angle Isolation Valve
 180.00  
Whedco IMC-4230-1-B Motor Controller 78003981/9710
Whedco IMC-4230-1-B Motor Controller 78003981/9710
 399.99  
?SYSTEM ELECTRONICS 1002510132 INTERFACE CONV. AN96 PCB 02??Fast DHL Shipping???
?SYSTEM ELECTRONICS 1002510132 INTERFACE CONV. AN96 PCB 02??Fast DHL Shipping???
 299.00  
Alcatel Controller Interface Control
Top-Rated Plus Seller Alcatel Controller Interface Control
 165.00  
Unit Instruments Ufc-8160 100 Sccm N2 Mass Flow Controller Stainless Steel Mvcr
Unit Instruments Ufc-8160 100 Sccm N2 Mass Flow Controller Stainless Steel Mvcr
 184.50  
4022.481.67691 connecting BM assy AT-T
4022.481.67691 connecting BM assy AT-T
 520.00  
ANELVA 253-3058-79 Shutter arms API-416 UHV cleaned
ANELVA 253-3058-79 Shutter arms API-416 UHV cleaned
 200.00  
Panasonic SYSCON VJB86146 VEP86146B-1 F2VEP86146B-1 board
Panasonic SYSCON VJB86146 VEP86146B-1 F2VEP86146B-1 board
 429.00  
Fujikin Pneumatic Valve 095129   N.o.
Fujikin Pneumatic Valve 095129 N.o.
 20.00  
Sb2Te3 99.999% 2" diameter x 3mm thick ACI ALLOYS
Sb2Te3 99.999% 2" diameter x 3mm thick ACI ALLOYS
 300.00  
SMC ARJ210 MSBG 1 Set Press Regulator
SMC ARJ210 MSBG 1 Set Press Regulator
 23.76  
Regloplas 90s Temperature Control Unit
Regloplas 90s Temperature Control Unit
 1 599.00  
KLA PLLAD 8 Assy PCB 710-566006-00 601-00266 for KLA-6020
KLA PLLAD 8 Assy PCB 710-566006-00 601-00266 for KLA-6020
 340.00  
301-0401// Amat Applied 0010-37741 Molded Cover  Pumping Plate  Txz New
301-0401// Amat Applied 0010-37741 Molded Cover  Pumping Plate  Txz New
 4 500.00  
ESI IF/AOM Driver ESI P/N 152264 from ESI UV9835 System
ESI IF/AOM Driver ESI P/N 152264 from ESI UV9835 System
 340.00  
Tegal 99-196-002 ATI-2 PCB Rev. W
Tegal 99-196-002 ATI-2 PCB Rev. W
 220.00  
Aplied Materials 0150-00075 long flat cable
Aplied Materials 0150-00075 long flat cable
 299.00  
Mass-Vac Multi-Trap 355080S
Mass-Vac Multi-Trap 355080S
 1 200.00  
GAE GA3004 Dual Microwave Power Monitor
GAE GA3004 Dual Microwave Power Monitor
 100.00  
Aspect Systems Inc  Recipe Module
Aspect Systems Inc  Recipe Module
 89.10  
Svg 86xx
Svg 86xx
 9 000.00  
Corman Technologies INC CT-N108 REV.B free ship
Corman Technologies INC CT-N108 REV.B free ship
 299.00  
DAINIPPON INK & CHEMICALS eFLOW SD16L-24SH E RESISTIVITY METER
DAINIPPON INK & CHEMICALS eFLOW SD16L-24SH E RESISTIVITY METER
 300.00  
KyTek KT2002 2-Channel Display
Top-Rated Plus Seller KyTek KT2002 2-Channel Display
 52.48  
Padc 65/13 Asml 4022.471.6177 Amplifier Card 6001-0100-3103
Padc 65/13 Asml 4022.471.6177 Amplifier Card 6001-0100-3103
 350.00  
Asm As 03102934-02
Asm As 03102934-02
 249.00  
A52806000 QDP Exhaust Pressure  Series 3 Module
A52806000 QDP Exhaust Pressure  Series 3 Module
 184.00  
Clippard Minimatic "X" Couplers  # 15002-5 Brass 5 count
Clippard Minimatic "X" Couplers  # 15002-5 Brass 5 count
 5.99  
FUJIKIN PNEUMATIC VALVE O.P. 3.5 ~ 7 kgf / cm²G 316L SS Type N.C.
FUJIKIN PNEUMATIC VALVE O.P. 3.5 ~ 7 kgf / cm²G 316L SS Type N.C.
 24.50  
Power Logicon UTI Model 5M Bonder Bonder Controller / Generator
Power Logicon UTI Model 5M Bonder Bonder Controller / Generator
 279.00  
09007-0140 Instrument Air Gauge
Top-Rated Plus Seller 09007-0140 Instrument Air Gauge
 39.99  
ASM 16-350115E01 Quartz Plate New
ASM 16-350115E01 Quartz Plate New
 601.18  
ASM 16-351197D01 Quartz Plate New
ASM 16-351197D01 Quartz Plate New
 601.18  
SMC SY5140-5LZ Solenoid Valve Base Mount W/ SY5140-5LZ (4)  USED
SMC SY5140-5LZ Solenoid Valve Base Mount W/ SY5140-5LZ (4)  USED
 320.00  
SMC SY7240-5LZ Solenoid Valve Base Mount W/ SY7240-5LZ (4)  USED
SMC SY7240-5LZ Solenoid Valve Base Mount W/ SY7240-5LZ (4)  USED
 320.00  
SMC SY5240R-5LZ Solenoid Valve Base Mount W/ SY5240R-5LZ (4)  USED
SMC SY5240R-5LZ Solenoid Valve Base Mount W/ SY5240R-5LZ (4)  USED
 320.00  
Aetrium 304726 Assembly 304710
Aetrium 304726 Assembly 304710
 1 900.00  
NFS110 Power Supply  NFS110 / Artesyn
NFS110 Power Supply  NFS110 / Artesyn
 75.00  
Air Products CRSD1538 Display Processor Board PCB Card CRSD 1538 Used Working
Air Products CRSD1538 Display Processor Board PCB Card CRSD 1538 Used Working
 203.17  
5102  NP New Power Plasma NPRLC-400 Controller
5102 NP New Power Plasma NPRLC-400 Controller
 90.00  
Eaton Chamber Load Arm 0298-0124-0001
Eaton Chamber Load Arm 0298-0124-0001
 500.00  
Tel Tokyo  U1600B10 Backboard LED with Cables
Tel Tokyo U1600B10 Backboard LED with Cables
 25.85  
Disco KN-006 UA-001900 INPUT board
Disco KN-006 UA-001900 INPUT board
 229.00  
Omega SSR240DC45 Solid State Relay  45 Amps 240V - 320608
Omega SSR240DC45 Solid State Relay  45 Amps 240V - 320608
 25.00  
Matrox Electronics Systems Std-2480-as
Matrox Electronics Systems Std-2480-as
 125.00  
NTE Electronics 501-0008 POTENTIOMETER 2 WATT 3.5K OHM 1/4" DIAMETER SHAFT
NTE Electronics 501-0008 POTENTIOMETER 2 WATT 3.5K OHM 1/4" DIAMETER SHAFT
 22.99  
130-0202// AMAT APPLIED 0100-09179 wASSY PWB L.S.DIST. 7 AMP USED
130-0202// AMAT APPLIED 0100-09179 wASSY PWB L.S.DIST. 7 AMP USED
 600.00  
R182257 Mykrolis HPC-20 CDG Adapter Unit
R182257 Mykrolis HPC-20 CDG Adapter Unit
 50.00  
CS0081 Stepper Motor Driver
CS0081 Stepper Motor Driver
 250.00  
Kurt J Lesker Molybdenum Target   Mo  90% / 3.00 Dia. x .125 Thick  Part# EJTMOX
Kurt J Lesker Molybdenum Target  Mo  90% / 3.00 Dia. x .125 Thick  Part# EJTMOX
 103.50  93.15  
Kurt J Lesker Molybdenum Target   Mo  85% / 3.00 Dia. x .125 Thick  Part# EJTMOX
Kurt J Lesker Molybdenum Target  Mo  85% / 3.00 Dia. x .125 Thick  Part# EJTMOX
 103.50  93.15  
SMC AW30-03-2 filter regulator  modular
SMC AW30-03-2 filter regulator  modular
 250.99  200.79  
SMC AW30-03-2 filter regulator  modular
SMC AW30-03-2 filter regulator  modular
 220.00  176.00  
Asyst Technologies 8045R2-1  TOOLING Robot Teach Pendant  cord needs repair
Top-Rated Plus Seller Asyst Technologies 8045R2-1 TOOLING Robot Teach Pendant  cord needs repair
 249.99  
Seiko Seiki STP-451  TURBOMOLECULAR PUMP
Seiko Seiki STP-451 TURBOMOLECULAR PUMP
 1 999.00  
CH Products Eclipse Yoke with 144 Programmable Functions with Control Manager
CH Products Eclipse Yoke with 144 Programmable Functions with Control Manager
 329.00  305.97  
CIRCUIT SPECIALISTS 3646A Programmable DC Power Supply 0-72V/01.5A  (UBI36)
Top-Rated Plus Seller CIRCUIT SPECIALISTS 3646A Programmable DC Power Supply 0-72V/01.5A (UBI36)
 150.00  112.50  
Analog Devices 2B52A Thermocouple Transmitter
Analog Devices 2B52A Thermocouple Transmitter
 25.00  
NEW VAb uhv vacuum linear motion stage 100mm travel z axis 2 3/4" conflat MDC
NEW VAb uhv vacuum linear motion stage 100mm travel z axis 2 3/4" conflat MDC
 1 000.00  
Moeller Eaton Rotary Handle 266608 -- NZM4-XDV -- Used
Moeller Eaton Rotary Handle 266608 -- NZM4-XDV -- Used
 85.00  
Gasonics? GES PT-9012-286 397226 AWR-039-CC-3-001
Gasonics? GES PT-9012-286 397226 AWR-039-CC-3-001
 1 750.00  
Wholesale Asymtek Needle Sensor Ns-series
Wholesale Asymtek Needle Sensor Ns-series
 175.00  
Solomat Neotronics Company 520C Water Quality meter  Handheld and portable.
Solomat Neotronics Company 520C Water Quality meter  Handheld and portable.
 30.00  
5 AMAT 3700-01325 O-Ring ID .796 CSD .139 75Duro BLK  452210
5 AMAT 3700-01325 O-Ring ID .796 CSD .139 75Duro BLK  452210
 30.00  
Edwards A528 06 000 QDP Exhaust Pressure Module  411797
Edwards A528 06 000 QDP Exhaust Pressure Module  411797
 350.00  
Control Techniques M700-034 00045a Unidrive M700 (no Keypad)
Control Techniques M700-034 00045a Unidrive M700 (no Keypad)
 890.00  
Power Designs Pd Model 1556b 10-6000v 20ma Dc Power Source  (bxk50)
Top-Rated Plus Seller Power Designs Pd Model 1556b 10-6000v 20ma Dc Power Source (bxk50)
 400.00  300.00  
Agilent Varian 9699950L0300 300 cm 9.8 ft Turbo Pump Extension Cable TMP Vacuum
Agilent Varian 9699950L0300 300 cm 9.8 ft Turbo Pump Extension Cable TMP Vacuum
 380.10  
Alessi Industries Micro Positioner Probe Positioner w/ magnetic base Blue
Top-Rated Plus Seller Alessi Industries Micro Positioner Probe Positioner w/ magnetic base Blue
 289.99  202.99  
111-0702// Amat Applied 0020-21217 Bracket rearstep  Left [used]
111-0702// Amat Applied 0020-21217 Bracket rearstep  Left [used]
 140.00  
SEMCO Corporation Model XLSM  serial # 432
SEMCO Corporation Model XLSM serial # 432
 695.00  
Ade Wis Light Channel Analog Board  398-20130-1/er1
Ade Wis Light Channel Analog Board  398-20130-1/er1
 69.99  
Electroglas 242840 Multifunction Joystick Controller Used Working
Electroglas 242840 Multifunction Joystick Controller Used Working
 202.17  
Yamamoto 8501-7108 thermo-transmitter
Yamamoto 8501-7108 thermo-transmitter
 269.99  
SMC SY5240-5LZ Solenoid Valve Base Mount W/ SY5240-5LZ (3)  USED
SMC SY5240-5LZ Solenoid Valve Base Mount W/ SY5240-5LZ (3)  USED
 240.00  
Cambridge Applied Systems Ncc-323 Viscosity Moitoring System 100 - 10 000cp
Cambridge Applied Systems Ncc-323 Viscosity Moitoring System 100 - 10 000cp
 2 450.00  
Lot of 2 Aetrium 302490 Rev T output tray assemblies
Lot of 2 Aetrium 302490 Rev T output tray assemblies
 1 199.00  
Lot Millipore Gen 2 Controller and Photoresist Pump 2EE1F40D0004EF
Lot Millipore Gen 2 Controller and Photoresist Pump 2EE1F40D0004EF
 499.99  
Infineon SFH756V Plastic Fiber Optic Transmitter Diode Plastic Connector Housing
Infineon SFH756V Plastic Fiber Optic Transmitter Diode Plastic Connector Housing
 11.00  
Ajs Controls 3041 System_e Pcb Circuit Board
Top-Rated Plus Seller Ajs Controls 3041 System_e Pcb Circuit Board
 149.97  
TOFWERK dualPulser v1.20 07042005
TOFWERK dualPulser v1.20 07042005
 3 299.00  
^^ Trig-Tek 252A APS-8 Accelerometer Power Supply  (CW66)
Top-Rated Plus Seller ^^ Trig-Tek 252A APS-8 Accelerometer Power Supply (CW66)
 400.00  300.00  
^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf41)
Top-Rated Plus Seller ^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf41)
 100.00  75.00  
Cacr-hr1-00dab / Servo Motor Drive Control Card Pcb / Yaskawa Electric
Top-Rated Plus Seller Cacr-hr1-00dab / Servo Motor Drive Control Card Pcb / Yaskawa Electric
 99.99  
Sogevac Sv40 Bi Rotary Vane Vacuum Pump   (#3669)
Sogevac Sv40 Bi Rotary Vane Vacuum Pump (#3669)
 750.00  562.50  
SP-2000-20R Spectrum
SP-2000-20R Spectrum
 125.00  
Amat 0100-11002 Digital I/o Card
Amat 0100-11002 Digital I/o Card
 145.00  
Amat 0020-79242 Travel Hub Shaft W/ 0020-79244
Amat 0020-79242 Travel Hub Shaft W/ 0020-79244
 400.00  
HP Agilent Protocol Processor E5515 61175 (3947) Made in UK
HP Agilent Protocol Processor E5515 61175 (3947) Made in UK
 158.72  
Orca Diagnostics Corporation Oxygen Analyzer
Orca Diagnostics Corporation Oxygen Analyzer
 399.99  
Tokyo Keiso SFC-450 Ultrasonic Flowmeter   USED
Tokyo Keiso SFC-450 Ultrasonic Flowmeter   USED
 150.00  
Metroline/IPC  Branson  4055/2 Plasma System  Plasma Surface Treatment Tool
Metroline/IPC  Branson  4055/2 Plasma System  Plasma Surface Treatment Tool
 3 899.96  
Mks Instruments Inc Pressure Transducer Type 122aa 2 Torr (lb100)
Top-Rated Plus Seller Mks Instruments Inc Pressure Transducer Type 122aa 2 Torr (lb100)
 250.00  187.50  
New ASM Siemens 00319423S02 Vacuum Nozzle pack of 8 w/ warranty Free Shipping
New ASM Siemens 00319423S02 Vacuum Nozzle pack of 8 w/ warranty Free Shipping
 29.99  
Magnetic 6'' Round Assembly
Magnetic 6'' Round Assembly
 99.00  
10-000-1184 / Tank  Quartz  Sulfuric  Unheated V3 / Imtech
10-000-1184 / Tank  Quartz  Sulfuric  Unheated V3 / Imtech
 23 775.00  
TOKYO KEISO F99-10027614 Flow Meter
TOKYO KEISO F99-10027614 Flow Meter
 32.99  
OHT Inc SPO161 Scanner PCB Board
OHT Inc SPO161 Scanner PCB Board
 199.00  
Omega 88222k-tt  Pl510970260  Unique Temperature Surface Probe
Omega 88222k-tt  Pl510970260  Unique Temperature Surface Probe
 64.95  
137-0301// Amat Applied 0050-09020 Manifold Vacuum Line [used]
137-0301// Amat Applied 0050-09020 Manifold Vacuum Line [used]
 160.00  
Sanki PEF-L250AG Piezo In-Line Vibratory Feeder SEE PICTURES
Sanki PEF-L250AG Piezo In-Line Vibratory Feeder SEE PICTURES
 400.00  
SMC SY7240-5MZ Solenoid Valve Base Mount W/ SY7240-5MZ (3)  USED
SMC SY7240-5MZ Solenoid Valve Base Mount W/ SY7240-5MZ (3)  USED
 240.00  
Disco FBPCB-0315 16-DO(COMM)-KN
Disco FBPCB-0315 16-DO(COMM)-KN
 249.00  
Kf10 To 1" Tube Aluminum  Adapter P1043
Kf10 To 1" Tube Aluminum Adapter P1043
 9.99  
Harting Industrial Cable #2133a7a8518050
Harting Industrial Cable #2133a7a8518050
 185.00  
APLUS Motion AMB-2AA CARD  USED
APLUS Motion AMB-2AA CARD  USED
 80.00  
Samwon R16c-ynt  Used
Samwon R16c-ynt  Used
 50.00  
SMC SY5240-5LZ Solenoid Valve Base Mount W/ SY5240-5LZ (3)  USED
SMC SY5240-5LZ Solenoid Valve Base Mount W/ SY5240-5LZ (3)  USED
 240.00  
MRC 880-73-000 REV H Mass Memory PCB
MRC 880-73-000 REV H Mass Memory PCB
 225.00  
AS809 Die Sorter
AS809 Die Sorter
 8 000.00  
DISCO EAUA UA-266105 D3 PMCB Interface Board Used Working
DISCO EAUA UA-266105 D3 PMCB Interface Board Used Working
 410.11  
Swagelok E-3DK-DA Diaphragm kit SC-01 Clean
Swagelok E-3DK-DA Diaphragm kit SC-01 Clean
 19.99  
BECKHOFF Lof of 10 KL1488 / Free Expedited Shipping
BECKHOFF Lof of 10 KL1488 / Free Expedited Shipping
 319.00  
Milestek 70-50354 Hose
Milestek 70-50354 Hose
 69.99  
8mm Hose
8mm Hose
 49.99  
1614801 4mm Hose
1614801 4mm Hose
 59.99  
18" Stainless Gas Line with Fittings
18" Stainless Gas Line with Fittings
 9.00  
CKD 4GB329-E21H Solenoid Valve Base Mount W/ 4GB329-E21H (2)  USED
CKD 4GB329-E21H Solenoid Valve Base Mount W/ 4GB329-E21H (2)  USED
 160.00  
TPC DV4240 Solenoid Valve Base Mount W/ DV4240 (2)  USED
TPC DV4240 Solenoid Valve Base Mount W/ DV4240 (2)  USED
 160.00  
Fujikin FUBV-715-6.35
Fujikin FUBV-715-6.35
 89.95  44.97  
Anelva PSC-211 DC Controller Used Working
Anelva PSC-211 DC Controller Used Working
 453.11  
Cosel P30-24 Power Supply 24v 1.3a - Free Shipping
Cosel P30-24 Power Supply 24v 1.3a - Free Shipping
 30.00  
Lot of 6 Pulleys  Drive Belts 1/4" Shaft
Lot of 6 Pulleys  Drive Belts 1/4" Shaft
 75.00  
Copper Coil Hose
Copper Coil Hose
 99.99  
Oriental Motor 5rk40rgn-am Ac Magantic Brake Speed Control Motor
Oriental Motor 5rk40rgn-am Ac Magantic Brake Speed Control Motor
 79.95  
Samwon R32c-ypt  Used
Samwon R32c-ypt  Used
 50.00  
Samwon R32c-ynt-v  Used
Samwon R32c-ynt-v  Used
 50.00  
CKD OPP3-1H 10-Port Pneumatic Manifold FL247221 Used Working
CKD OPP3-1H 10-Port Pneumatic Manifold FL247221 Used Working
 205.11  
Adept Technologies SmartDIO 30356-30000 Rev F with 14 day warranty
Adept Technologies SmartDIO 30356-30000 Rev F with 14 day warranty
 495.00  
ASM 232720180.11 632720220.00 REV. D / Free Expedited Shipping
ASM 232720180.11 632720220.00 REV. D / Free Expedited Shipping
 299.00  
RECHNER Series 90 Capacitive Sensor KAS-90-61-S
Top-Rated Plus Seller RECHNER Series 90 Capacitive Sensor KAS-90-61-S
 48.95  
Smc L-motion Slit Valve Xgt222-46236-1c-x242
Smc L-motion Slit Valve Xgt222-46236-1c-x242
 1 900.00  
Xgt221-32222-1f / Slit Valve / Smc
Xgt221-32222-1f / Slit Valve / Smc
 950.00  
Rexroth 1172-200-00 Star. Belt Drive Fixture New
Rexroth 1172-200-00 Star. Belt Drive Fixture New
 275.00  
Thornton 740-A16 DOT TWO Resitivity Controller
Thornton 740-A16 DOT TWO Resitivity Controller
 99.99  89.99  
428-0103// Twk Kbe58-s8192gkd01 Motor [used]
428-0103// Twk Kbe58-s8192gkd01 Motor [used]
 70.00  
Communicaton Specialities Twinspilt for VGA 1302
Communicaton Specialities Twinspilt for VGA 1302
 65.00  45.50  
Pfeiffer / Balzers TCF 102 Vent Control Plug-In
Pfeiffer / Balzers TCF 102 Vent Control Plug-In
 45.00  
Parker Veriflo 930GSFSMMLK2.3 (P/N: 45700507) Toggle Diaphragm Valve
Parker Veriflo 930GSFSMMLK2.3 (P/N: 45700507) Toggle Diaphragm Valve
 100.00  
Fujikin Valve O.P.0.4~0.6 MPa
Fujikin Valve O.P.0.4~0.6 MPa
 100.00  
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve  452465
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve  452465
 150.00  
LOT OF 6 Omron E3X-DA11-N & E32-T22S Photoelectric Switch Digital Fiber Sensor
LOT OF 6 Omron E3X-DA11-N & E32-T22S Photoelectric Switch Digital Fiber Sensor
 75.00  
879-0133-004 Cassett Reticle  SVG
879-0133-004 Cassett Reticle  SVG
 22.00  
Integrated Flow Systems SR4-120-4MVMV-HT-35 Pressure Regulator  Inlet 45PSIG
Integrated Flow Systems SR4-120-4MVMV-HT-35 Pressure Regulator  Inlet 45PSIG
 219.99  
Fisher Porter Mass Flow Controller 200 Sccm Nh3 Stainless Steel Cajun Fittings
Fisher Porter Mass Flow Controller 200 Sccm Nh3 Stainless Steel Cajun Fittings
 24.50  
CPVSC1 Valve  Terminal / CPVSC1 / with 4 units Festo 548904 / Festo
CPVSC1 Valve  Terminal / CPVSC1 / with 4 units Festo 548904 / Festo
 175.00  
CoorsTek 4487756001 Semiconductor Wafer Cover Plate 100mm 41120-87756-010 New
CoorsTek 4487756001 Semiconductor Wafer Cover Plate 100mm 41120-87756-010 New
 512.11  
SMC SY5140-5LZ Solenoid Valve Base Mount W/ SY5140-5LZ (2)  USED
SMC SY5140-5LZ Solenoid Valve Base Mount W/ SY5140-5LZ (2)  USED
 160.00  
Sierra Tecmax ST-741 Spinner
Sierra Tecmax ST-741 Spinner
 30.00  
1505504 Control  MiControl / mcDSA-E6-Modul / 3232836 / miControl
1505504 Control  MiControl / mcDSA-E6-Modul / 3232836 / miControl
 520.00  
(4) Used Setra Pressure Transducers 228-1 & C239
(4) Used Setra Pressure Transducers 228-1 & C239
 59.00  
Alessi Industries Micro Positioner Probe Positioner w/ magnetic base
Top-Rated Plus Seller Alessi Industries Micro Positioner Probe Positioner w/ magnetic base
 289.99  202.99  
TeleSense Corp 4810-10047 00002-10 rev A  4910-10161 TSIO-II green board
Top-Rated Plus Seller TeleSense Corp 4810-10047 00002-10 rev A  4910-10161 TSIO-II green board
 149.99  
^^ Mks Type 221 Signal Conditioner 100 Torr (hy64)
^^ Mks Type 221 Signal Conditioner 100 Torr (hy64)
 150.00  112.50  
ELECTRONICS MEASUREMENTS EMHP 37-810-4111-0770A  DC Power Supply (#3542)
ELECTRONICS MEASUREMENTS EMHP 37-810-4111-0770A DC Power Supply (#3542)
 1 500.00  1 125.00  
Dage Microtester Load Cell Pull Test 10kg BT22-LC32
Dage Microtester Load Cell Pull Test 10kg BT22-LC32
 250.00  
*PREOWNED* GE Panametrics MTS5-351-10 Moisture Target Meter Series 5 + Warranty!
Top-Rated Plus Seller *PREOWNED* GE Panametrics MTS5-351-10 Moisture Target Meter Series 5 + Warranty!
 750.00  
Edwards Krom Shroder Gas Block Vad120/-r/nq-25b Manifold
Edwards Krom Shroder Gas Block Vad120/-r/nq-25b Manifold
 119.95  
115909 / Magniflow Motor  Pump  Centrifugal Bsm-4.2-30 / Celerity
115909 / Magniflow Motor  Pump  Centrifugal Bsm-4.2-30 / Celerity
 3 249.69  
CDP312 Control Panel  CDP312 / ABB Industry
CDP312 Control Panel  CDP312 / ABB Industry
 75.00  
Amat 0040-86264 Plate  Bottom  Pib  Chiller  300mm Endura2  New
Amat 0040-86264 Plate  Bottom  Pib  Chiller  300mm Endura2 New
 99.99  
Inficon Connection Plugs Kit -- 551-110 -- New
Inficon Connection Plugs Kit -- 551-110 -- New
 50.00  
Agilent Technologies  Vacuum Pump SH110 Model SH0II01UNIV
Agilent Technologies Vacuum Pump SH110 Model SH0II01UNIV
 1 950.00  
Flowlink 1040895 Shutoff Valve
Flowlink 1040895 Shutoff Valve
 100.00  
176-0203// Balzers Bpv15125 Valve [used]
176-0203// Balzers Bpv15125 Valve [used]
 60.00  
Cleanroom Filter Cri 12254 Sn 0124550. Sam24 Microsound Crf Low Watt
Cleanroom Filter Cri 12254 Sn 0124550. Sam24 Microsound Crf Low Watt
 499.00  
^^ Leitz Npl Plan 100x 0.90 Microscope Objective   (sj29)
^^ Leitz Npl Plan 100x 0.90 Microscope Objective (sj29)
 200.00  150.00  
KLA-Tencor SWE Focus Detector Board 54-0456 FAB 36-0456 RAV A
KLA-Tencor SWE Focus Detector Board 54-0456 FAB 36-0456 RAV A
 375.00  318.75  
Lam Research 839-338171-002 Rev B 1001656-21-15-0003 Used US&7838
Lam Research 839-338171-002 Rev B 1001656-21-15-0003 Used US&7838
 259.00  
Optimised Control D281 Motion Controller Card PCB esMINT v2.67j/m Used Working
Optimised Control D281 Motion Controller Card PCB esMINT v2.67j/m Used Working
 504.18  
258161 / Motor Assy Platform Cassette / Axcelis Technologies
258161 / Motor Assy Platform Cassette / Axcelis Technologies
 453.15  
352-0502// Smc Vz412 Smc Solenoid Valve [used]
352-0502// Smc Vz412 Smc Solenoid Valve [used]
 40.00  
341-0301// Amat Applied 0020-21043 Cover  Switch W/ Connector [used]
341-0301// Amat Applied 0020-21043 Cover  Switch W/ Connector [used]
 60.00  
USHIO SEEXP-CONT 9606028 931004 / Free Expedited Shipping
USHIO SEEXP-CONT 9606028 931004 / Free Expedited Shipping
 299.00  
GE Analog Input Module IC200ALG230H
Top-Rated Plus Seller GE Analog Input Module IC200ALG230H
 162.03  
MTCS S12-DN Temperature Controller  423668
MTCS S12-DN Temperature Controller  423668
 250.00  
Msqa7a / Smc Pneumatic Actuator / Smc
Msqa7a / Smc Pneumatic Actuator / Smc
 235.00  
Credence Systems Co TT1N30R2-1 PROGRAMMING PENDANT
Credence Systems Co TT1N30R2-1 PROGRAMMING PENDANT
 129.00  
Applied Materials 0041-48723 Rev 02  0270-06207 Rev 01
Applied Materials 0041-48723 Rev 02  0270-06207 Rev 01
 1 970.00  
0100-09022 AMAT Applied Materials / Mini AI/AO
0100-09022 AMAT Applied Materials / Mini AI/AO
 300.00  
Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 98030985
Top-Rated Plus Seller Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 98030985
 199.98  
Perkin Elmer PHI 10-155 Cylindrical-Auger Electron Optics Multiplier - USA
Perkin Elmer PHI 10-155 Cylindrical-Auger Electron Optics Multiplier - USA
 2 499.94  1 999.95  
CTI Cryopump On board 8
CTI Cryopump On board 8
 6 800.00  
^^ Varian Model 9698904 Tv-81-m Pump (sma2)
Top-Rated Plus Seller ^^ Varian Model 9698904 Tv-81-m Pump (sma2)
 1 500.00  1 125.00  
Charles Supper Company X-Y Micro Slide with Z Travel 2201/3019 - Micropositioner
Charles Supper Company X-Y Micro Slide with Z Travel 2201/3019 - Micropositioner
 175.00  
Sick WE250-S132 6 010 595 Preserve Beam Sensor
Sick WE250-S132 6 010 595 Preserve Beam Sensor
 99.00  
Siemens  Double Motor Module
Siemens Double Motor Module
 750.00  
SMC SY7240-5MOZ Solenoid Valve Base Mount W/ SY7240-5MOZ (2)  USED
SMC SY7240-5MOZ Solenoid Valve Base Mount W/ SY7240-5MOZ (2)  USED
 160.00  
SMC SY7240-5LZ Solenoid Valve Base Mount W/ SY7240-5LZ (2)  USED
SMC SY7240-5LZ Solenoid Valve Base Mount W/ SY7240-5LZ (2)  USED
 160.00  
Disco EAUA-518500 Alu PC Flame Memory + EAUA-518600 + EAUA-535301 Board
Disco EAUA-518500 Alu PC Flame Memory + EAUA-518600 + EAUA-535301 Board
 299.00  
GE/Fanuc Input Module IC670MDL240K
Top-Rated Plus Seller GE/Fanuc Input Module IC670MDL240K
 116.65  
NEW 180W Lambda HR-12F-24 Switching Power Supply AC-DC 115vac 24V 7.5A HR12F24
NEW 180W Lambda HR-12F-24 Switching Power Supply AC-DC 115vac 24V 7.5A HR12F24
 249.69  
SHI-APD CRYOGENICS HC-8C HC8C Recirculating Water Chiller  (#3595A)
SHI-APD CRYOGENICS HC-8C HC8C Recirculating Water Chiller (#3595A)
 2 000.00  1 500.00  
GE/Fanuc Isolated Input Module IC670MDL233J
Top-Rated Plus Seller GE/Fanuc Isolated Input Module IC670MDL233J
 80.98  
GE/Fanuc Unloaded In/Out Barrier Style Terminal Base IC670CHS001F
Top-Rated Plus Seller GE/Fanuc Unloaded In/Out Barrier Style Terminal Base IC670CHS001F
 75.59  
Advantest Control Box A9712B
Top-Rated Plus Seller Advantest Control Box A9712B
 199.99  139.99  
MRC Materials Research Corporation EC002 Etch Kit 150mm Used Working
MRC Materials Research Corporation EC002 Etch Kit 150mm Used Working
 1 006.18  503.09  
Cisco QSFP-4X10G-AOC10M NIB
Cisco QSFP-4X10G-AOC10M NIB
 65.00  
ASM 1012-522-01 Upper Lamp Array Assembly ASSY-UPPER LAMP ARRAY New
ASM 1012-522-01 Upper Lamp Array Assembly ASSY-UPPER LAMP ARRAY New
 3 007.18  2 105.03  
EDWARDS WRG-S 14.5-36V 2W WIDE RANGE Gauge
EDWARDS WRG-S 14.5-36V 2W WIDE RANGE Gauge
 249.90  
Z-World PCB Micro G2 Board
Z-World PCB Micro G2 Board
 79.99  
Hyunam HPR-2004 Pop Data Terminal Controller
Hyunam HPR-2004 Pop Data Terminal Controller
 72.47  
Universal Instruments DAC FDBK 3 Card 41072001-B
Universal Instruments DAC FDBK 3 Card 41072001-B
 79.99  
Sierra Instruments 224-007 Exhaust Flow Controller  0-35 SCFM
Sierra Instruments 224-007 Exhaust Flow Controller  0-35 SCFM
 154.99  
asm germany controller notch aligner
Top-Rated Plus Seller asm germany controller notch aligner
 99.99  89.99  
Halstrup Walcher PS 27 Differential Pressure transmitter No Display PTLE AIRFLOW
Halstrup Walcher PS 27 Differential Pressure transmitter No Display PTLE AIRFLOW
 54.00  51.30  
Matrix 1010-0017 Process Interface Board
Matrix 1010-0017 Process Interface Board
 420.00  
Aaplied Materials Reducer Box   Part # 0010-00174   New - Open Box
Aaplied Materials Reducer Box   Part # 0010-00174   New - Open Box
 2 000.00  
Mks 100003596 Assy  Int  Nw100 ambient  New
Mks 100003596 Assy  Int  Nw100 ambient  New
 320.00  
BECKHOFF ES3064 (3) / ES3162 (6) /  Free Expedited Shipping
BECKHOFF ES3064 (3) / ES3162 (6) / Free Expedited Shipping
 349.00  
419-0202// Parker Cp*71-006880-01 (cut Cable) Motor [used/fast]
419-0202// Parker Cp*71-006880-01 (cut Cable) Motor [used/fast]
 300.00  
GE/Fanuc Analog Current Output Module IC670ALG320K
Top-Rated Plus Seller GE/Fanuc Analog Current Output Module IC670ALG320K
 378.13  
GE/Fanuc Grouped Input Module IC670MDL240H
Top-Rated Plus Seller GE/Fanuc Grouped Input Module IC670MDL240H
 194.44  
Unit Mfc 8100  O2 1slm
Unit Mfc 8100  O2 1slm
 175.00  
200 91 247.2 Dickersbach  Heated Strap 120V 120W
200 91 247.2 Dickersbach  Heated Strap 120V 120W
 250.00  
SMC SY5140-5MZ Solenoid Valve Base Mount W/ SY5140-5MZ (2)  USED
SMC SY5140-5MZ Solenoid Valve Base Mount W/ SY5140-5MZ (2)  USED
 160.00  
SMC SY5240-5MZ Solenoid Valve Base Mount W/ SY5240-5MZ (2)  USED
SMC SY5240-5MZ Solenoid Valve Base Mount W/ SY5240-5MZ (2)  USED
 160.00  
Hyunam HPR-2004 Pop Data Terminal/Controller HPR2004
Top-Rated Plus Seller Hyunam HPR-2004 Pop Data Terminal/Controller HPR2004
 139.99  
SILICON CARBIDE LINERS 165/178mm  70" OAL
SILICON CARBIDE LINERS 165/178mm  70" OAL
 550.00  
Rev-D I-Tech IPC-6160 Board 12 Available for Purchase
Rev-D I-Tech IPC-6160 Board 12 Available for Purchase
 25.00  
Lam Research RF Module 853-800838-010 with Coax & 9-Pin Serial Connections
Lam Research RF Module 853-800838-010 with Coax & 9-Pin Serial Connections
 88.00  
Cosense Electronic Module Multi-Channel System P/N : 14002
Cosense Electronic Module Multi-Channel System P/N : 14002
 185.00  
SMC SY5240-5LZ Solenoid Valve Base Mount W/ SY5240-5LZ (2)  USED
SMC SY5240-5LZ Solenoid Valve Base Mount W/ SY5240-5LZ (2)  USED
 160.00  
SMC SY5240-5MZ Solenoid Valve Base Mount W/ SY5240-5MZ (2)  USED
SMC SY5240-5MZ Solenoid Valve Base Mount W/ SY5240-5MZ (2)  USED
 160.00  
Used Veriflo 3870-01307 Valve Free Shipping
Used Veriflo 3870-01307 Valve Free Shipping
 175.00  148.75  
HORIBA STEC SMT-8000 TMGe 100SCCM
HORIBA STEC SMT-8000 TMGe 100SCCM
 49.99  
Jst Dt921e Switch Panel Tested In Working Order Free Shipping
Jst Dt921e Switch Panel Tested In Working Order Free Shipping
 100.00  
PHILLIPS 4022 332 86863 a DUAL SCALER SMD 5322 216 04654  /  Free Shipping
PHILLIPS 4022 332 86863 a DUAL SCALER SMD 5322 216 04654 / Free Shipping
 599.00  
Ohkura DI/DO HMSU2601A07 / Free Expedited Shipping
Ohkura DI/DO HMSU2601A07 / Free Expedited Shipping
 279.00  
BHK-A-V0 Circuit Board
BHK-A-V0 Circuit Board
 69.99  
Ge Fanuc Ic693cmm311g Communication Control Module
Ge Fanuc Ic693cmm311g Communication Control Module
 179.00  
Advanced Controls Corp. PCB Board - P/N: 18992-102R  Detail No. 17795
Advanced Controls Corp. PCB Board - P/N: 18992-102R  Detail No. 17795
 400.00  
UFC-1660 UNIT1660 Metal Z Seal
UFC-1660 UNIT1660 Metal Z Seal
 1 200.00  
Omega Ld610-10
Omega Ld610-10
 150.00  
343-0501// Amat Applied 0020-83521 Arc Chmbr  Remote Insulatd Pfs New
343-0501// Amat Applied 0020-83521 Arc Chmbr  Remote Insulatd Pfs New
 150.00  
Kyosan Electric HPK 15ZI DC POWER SUPPLY 15Z-S1
Kyosan Electric HPK 15ZI DC POWER SUPPLY 15Z-S1
 3 700.00  
Force SPARC CPU-5CE/16
Force SPARC CPU-5CE/16
 1 499.00  
A New UNIT UFC-1460A MASS FLOW CONTROLLER GAS He / 20 SCCM
A New UNIT UFC-1460A MASS FLOW CONTROLLER GAS He / 20 SCCM
 599.99  
Excelta Wafer Tip Tweezers Tweezer for 4” Wafers Two Star Stainless Steel Ant...
Excelta Wafer Tip Tweezers Tweezer for 4” Wafers Two Star Stainless Steel Ant...
 36.87  
Scientific TechnologiesLight Curatin Controller  MS4324B-2
Scientific TechnologiesLight Curatin Controller MS4324B-2
 250.00  
BJKS15-3 Switch  BJS15-3 / Power Switch / 15A / 100AC~200V / AB
BJKS15-3 Switch  BJS15-3 / Power Switch / 15A / 100AC~200V / AB
 40.00  
UNIT MFC 8100  Ar 30L
UNIT MFC 8100  Ar 30L
 175.00  
PN 7100-5174-01 AG Associates Heatpulse PCB
PN 7100-5174-01 AG Associates Heatpulse PCB
 1 700.00  
Heating element Black Max
Heating element Black Max
 300.00  
Keyence Lb-72 Controller Amplifier Unit For Photo Electric Lb72
Keyence Lb-72 Controller Amplifier Unit For Photo Electric Lb72
 89.99  
 otherm DeviceNet Mini8  /  Free Shipping
 otherm DeviceNet Mini8 / Free Shipping
 299.00  
SA53RCUL50 Breaker  SA53RCUL / 50Amp / Circuit Auto Breaker SA53RCUL50 / 50Amp /
SA53RCUL50 Breaker  SA53RCUL / 50Amp / Circuit Auto Breaker SA53RCUL50 / 50Amp /
 120.00  
Hilscher Devicenet CPCI Board CIF80 BSL-DN V1.500
Hilscher Devicenet CPCI Board CIF80 BSL-DN V1.500
 79.90  
Btu 3161161 System I/o Board
Btu 3161161 System I/o Board
 150.00  
Btu 3161161 System I/o Board
Btu 3161161 System I/o Board
 150.00  
Sharp Precision SP-112  SP112 Hybrid Package Cover Remover
Sharp Precision SP-112  SP112 Hybrid Package Cover Remover
 250.00  
Hewlett Packard Hp 214b Pulse Generator  (yd11)
Hewlett Packard Hp 214b Pulse Generator (yd11)
 100.00  75.00  
Julabo FC 1200T FC1200T Digital Recirculating Chiller 28L/Min  -10 to 80 Degrees
Top-Rated Plus Seller Julabo FC 1200T FC1200T Digital Recirculating Chiller 28L/Min  -10 to 80 Degrees
 3 500.00  3 325.00  
Ac1328 / Ac1328 A M C 1 Controller Module / Sts
Ac1328 / Ac1328 A M C 1 Controller Module / Sts
 450.00  
Omron T-Port Tap Terminal DCN1-1 DCN11 Used
Omron T-Port Tap Terminal DCN1-1 DCN11 Used
 10.00  
Dynamics Research Corp. PC 40010R3
Dynamics Research Corp. PC 40010R3
 425.00  
2 Used Nagano Kl77 Pressure Transmitters Free Shipping
2 Used Nagano Kl77 Pressure Transmitters Free Shipping
 299.99  254.99  
430-0203// Pittman Tcp-542-35-h1-or (unclean) Motor [used]
430-0203// Pittman Tcp-542-35-h1-or (unclean) Motor [used]
 500.00  
Brooks Instruments Thermal Mass Flow Controller 750 Sccm 3030-17923
Brooks Instruments Thermal Mass Flow Controller 750 Sccm 3030-17923
 350.00  
Veriflo 43700659 959100W3PXFSMMF Regulator
Veriflo 43700659 959100W3PXFSMMF Regulator
 150.00  
Technical Manufacturing TMC 22-27569-01 DC-2000 20-29362-01 with 14 day warranty
Technical Manufacturing TMC 22-27569-01 DC-2000 20-29362-01 with 14 day warranty
 950.00  
Nikon 4S001-141 (STGX8)  PCB   NSR
Nikon 4S001-141 (STGX8) PCB NSR
 1 299.00  
4022.453.40181 Glue Pistol ( TOOL )
4022.453.40181 Glue Pistol ( TOOL )
 520.00  
Ltx Credence 865-2289-00 Pca Sms Digital Wippmc750 Board (ict) *look* (ref.: 1g)
Ltx Credence 865-2289-00 Pca Sms Digital Wippmc750 Board (ict) *look* (ref.: 1g)
 399.00  
Multiple Stainless Steel sample holder- 17"  3.5" Height  3" samples  A-1
Multiple Stainless Steel sample holder- 17"  3.5" Height  3" samples A-1
 100.00  
EM-DBH Motor  EM-DHB / Three Phase / National / Panapower
EM-DBH Motor  EM-DHB / Three Phase / National / Panapower
 165.00  
F9922 Controller  F9922 / CAL Temperature Controller / 992.02C F9922 WD7645/1-00
F9922 Controller  F9922 / CAL Temperature Controller / 992.02C F9922 WD7645/1-00
 110.00  
Exair 6040 Air Amplifier 250psig Max 0.75 In Inlet
Exair 6040 Air Amplifier 250psig Max 0.75 In Inlet
 120.00  
DMK12-04-C1 Plug End
DMK12-04-C1 Plug End
 33.95  
Swagelok SS-BN5398 Valve
Swagelok SS-BN5398 Valve
 250.00  
Ultratech 03-18-00446 Combo Transition PCB Used Working
Ultratech 03-18-00446 Combo Transition PCB Used Working
 511.10  
AMAT ASA Board Assy SemVision cX 30712640100
AMAT ASA Board Assy SemVision cX 30712640100
 399.00  
Rotec DIG 32-IS I/O module new
Rotec DIG 32-IS I/O module new
 259.99  
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.3 Used Working
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.3 Used Working
 410.11  
Nsph-20e  Nisso Tool Chemical Pump Nse Haruna
Nsph-20e  Nisso Tool Chemical Pump Nse Haruna
 2 000.00  
Manual for FSI Phoenix
Manual for FSI Phoenix
 60.00  
Manual for Semix SOG system 6122(3)
Manual for Semix SOG system 6122(3)
 100.00  
Manual for UT Stepper 1000
Manual for UT Stepper 1000
 200.00  
Cybeq Tt1r2-2 Operator Interface Controller Pendant
Cybeq Tt1r2-2 Operator Interface Controller Pendant
 249.95  
USED SMC XLF-25 XLF Series High Vacuum Angle Valve FREE SHIPPING
USED SMC XLF-25 XLF Series High Vacuum Angle Valve FREE SHIPPING
 125.00  106.25  
Eaton PCB 1426890 rev B assy 15S0869 A Air interface D.I. PCB
Eaton PCB 1426890 rev B assy 15S0869 A Air interface D.I. PCB
 649.00  
C05-0298-A Board  C05-0298-A / Environnement S.A
C05-0298-A Board  C05-0298-A / Environnement S.A
 150.00  
Board No.40911201-2  Assy No. 97911231 Rev C
Board No.40911201-2 Assy No. 97911231 Rev C
 95.00  
Ge General Electric Zz-7359 3-pole 30 Amp Thqb Used
Ge General Electric Zz-7359 3-pole 30 Amp Thqb Used
 19.99  13.99  
** Lot Of 2 Asml 4022 486 6268 Vacuum Output Sensor
** Lot Of 2 Asml 4022 486 6268 Vacuum Output Sensor
 399.00  
Oriental Motor Arm66mc Stepping Motor  Used
Oriental Motor Arm66mc Stepping Motor  Used
 400.00  
Universal Instruments DAC FDBK 3 Card 41072001 Rev B
Universal Instruments DAC FDBK 3 Card 41072001 Rev B
 83.99  
Applied Materials DEUBLIN 957-600 0190-01272 w/VEXTA FBLM5120W-GFBK5 MOTOR +++
Applied Materials DEUBLIN 957-600 0190-01272 w/VEXTA FBLM5120W-GFBK5 MOTOR +++
 4 999.99  
Nickel 99.999% pure  2.00" diameter x 0.125" thick
Nickel 99.999% pure  2.00" diameter x 0.125" thick
 140.00  
336-0403// Verteq 1082026.1(#1) Matching Transformer [used]
336-0403// Verteq 1082026.1(#1) Matching Transformer [used]
 100.00  
B84102-C20 epcos used
B84102-C20 epcos used
 36.99  
Ceramaseal 15742-01-A Feedthrough Double Quartz w/ Shutter New
Ceramaseal 15742-01-A Feedthrough Double Quartz w/ Shutter New
 512.11  
Innotec Group FPO-231 PCB Board  Firing Circuit   Assy 92-00272-00
Innotec Group FPO-231 PCB Board  Firing Circuit  Assy 92-00272-00
 179.99  
Kniel Cp 15.3.5 4022.430.14741 Power Supply 115/230v
Kniel Cp 15.3.5 4022.430.14741 Power Supply 115/230v
 150.00  
*NEW OPEN BOX* Panasonic Panadac 921 N310P921 *WARRANTY+FAST ???? SHIP!*
*NEW OPEN BOX* Panasonic Panadac 921 N310P921 *WARRANTY+FAST ???? SHIP!*
 90.00  
Origa Sweden Sensor cable 970590300180 Three sensors to one connector
Origa Sweden Sensor cable 970590300180 Three sensors to one connector
 25.99  
UMG Technologies PLT-15750 Board Support 2 Leg 2.8 Tab
Top-Rated Plus Seller UMG Technologies PLT-15750 Board Support 2 Leg 2.8 Tab
 199.99  
Uph596-b / Oriental Motor  5-phase Stepping Motor  1.4a / Vexta
Uph596-b / Oriental Motor  5-phase Stepping Motor  1.4a / Vexta
 250.00  
Smc Rea25-350 Sine Rodless Cylinder
Smc Rea25-350 Sine Rodless Cylinder
 80.00  
Millipore Corp Controller  Photo Cool W2501cc01
Millipore Corp Controller  Photo Cool W2501cc01
 349.99  
OMEGA ENGINEERING Flow Control FM-8506
OMEGA ENGINEERING Flow Control FM-8506
 59.99  
Screen Pc-97040a Pc-97013b Pc-97019 Sm Unit
Screen Pc-97040a Pc-97013b Pc-97019 Sm Unit
 199.95  
Berkeley Process Control Terminal Block 5/24VDC Output 117-10030-00
Berkeley Process Control Terminal Block 5/24VDC Output 117-10030-00
 50.00  
OT3-2 Oxygeh Moisture TRAP  OT3-2 / 500 cc / R&D Separations
OT3-2 Oxygeh Moisture TRAP  OT3-2 / 500 cc / R&D Separations
 50.00  
Hp 16117C
Hp 16117C
 1 000.00  
Omron V600-d8kr12 Data Carrier Ddd
Omron V600-d8kr12 Data Carrier Ddd
 75.00  
AMAT Applied Materials Service Lift Kit 0242-29971 0270-03297 0270-03549/03297
AMAT Applied Materials Service Lift Kit 0242-29971 0270-03297 0270-03549/03297
 1 800.00  
Picoprobe Model 28 20:1 GAIN ADJUST
Picoprobe Model 28 20:1 GAIN ADJUST
 99.99  
Axcelis Flag Strike Plate - Graphite VIG Version 17066871 (99130V)
Axcelis Flag Strike Plate - Graphite VIG Version 17066871 (99130V)
 70.00  
VJB86146 Board  VJB86146 / SYSCON / F2VEP88146B-1 / Panasonic
VJB86146 Board  VJB86146 / SYSCON / F2VEP88146B-1 / Panasonic
 520.00  
BEI Kimco Magnetics DIH38-28-004Z Quad QSP
BEI Kimco Magnetics DIH38-28-004Z Quad QSP
 399.95  
WGMS02RRU Inline Filter
WGMS02RRU Inline Filter
 55.00  
Lot Of 6 Used Conic Reducers Stainless Steel Hps Mks? Iso-mf Free Shipping
Lot Of 6 Used Conic Reducers Stainless Steel Hps Mks? Iso-mf Free Shipping
 375.00  318.75  
LOT OF 2 Festo PEV-W-KL-LED-GH Pressure Switch 152-618
LOT OF 2 Festo PEV-W-KL-LED-GH Pressure Switch 152-618
 50.00  
344-0501// Amat Applied 3780-01091 Wshr  Wave Sprg 3wave .48od X .35id X .1 New
344-0501// Amat Applied 3780-01091 Wshr Wave Sprg 3wave .48od X .35id X .1 New
 10.00  
UNITEK UNIBOND Welder
UNITEK UNIBOND Welder
 3 795.00  
MB-304 Reducer Fitting SP114 1-1/4''x3/4''
MB-304 Reducer Fitting SP114 1-1/4''x3/4''
 33.76  
BECKHOFF KL2488 (10) /  Free Expedited Shipping
BECKHOFF KL2488 (10) / Free Expedited Shipping
 419.00  
LAM Pneumatic Manifold  V100-97-11A  SMC  423719
LAM Pneumatic Manifold  V100-97-11A  SMC  423719
 450.00  
Cilian 700116 REV F Power Control Board SG-0181
Top-Rated Plus Seller Cilian 700116 REV F Power Control Board SG-0181
 129.99  
Acroloc Spindle Drive
Acroloc Spindle Drive
 500.00  
Disco FBPCB-0133 & FBPCB-0084 & EAUA-518600
Disco FBPCB-0133 & FBPCB-0084 & EAUA-518600
 529.00  
Lucas Labs OVDS 7000 VDS Reference Module OVDS-7010 Working Surplus
Lucas Labs OVDS 7000 VDS Reference Module OVDS-7010 Working Surplus
 309.12  
Novellus PVD Universal Stage Shield (16-126664-02 Rev. C)  15 Lb.  ESC  RF Table
Top-Rated Plus Seller Novellus PVD Universal Stage Shield (16-126664-02 Rev. C)  15 Lb.  ESC  RF Table
 239.99  
Unit Mfc 8100  O2 20l
Unit Mfc 8100  O2 20l
 175.00  
Nikon 4S001-162 (STGX8)  PCB   NSR
Nikon 4S001-162 (STGX8) PCB NSR
 1 299.00  
Omron T-Port Tap Terminal DCN1-1 DCN11 Used
Omron T-Port Tap Terminal DCN1-1 DCN11 Used
 10.00  
CELERITY GCS404 Gas Cylinder Scale 300 LBS WITH TWO CHANNEL CONTROLLER LR250
CELERITY GCS404 Gas Cylinder Scale 300 LBS WITH TWO CHANNEL CONTROLLER LR250
 340.00  
Vat 24324-ka41-0001/1299 Vacuum Angle Valve
Vat 24324-ka41-0001/1299 Vacuum Angle Valve
 100.00  
Lot of 2 Omron S8VS-06024 Switching Rail Power Supply
Lot of 2 Omron S8VS-06024 Switching Rail Power Supply
 39.99  
Asm 2856735-21 Rev A2 / Sfc 56364 Circuit Board
Asm 2856735-21 Rev A2 / Sfc 56364 Circuit Board
 199.99  
Tecnomara Ag 8059 Zurich Type 95 Filling Machine Dosifit 95 Lab Equipment
Top-Rated Plus Seller Tecnomara Ag 8059 Zurich Type 95 Filling Machine Dosifit 95 Lab Equipment
 89.99  
Amat 0760-01037 Cplg Union Rotary 4-port  Used
Amat 0760-01037 Cplg Union Rotary 4-port  Used
 3 800.00  
Pneutronics D17-D24 D9-D16 Source Sink Board Semi Tool * 990-4255-001 / 691-0053
Pneutronics D17-D24 D9-D16 Source Sink Board Semi Tool * 990-4255-001 / 691-0053
 75.00  
Stancor P-8689 Auto Transformer input 115V/230V  Used
Stancor P-8689 Auto Transformer input 115V/230V  Used
 250.00  
(6) Heraues 2101 Buckets
(6) Heraues 2101 Buckets
 199.99  
Kniel Cp 24.2.2 4022.430.14761 Power Supply Card
Kniel Cp 24.2.2 4022.430.14761 Power Supply Card
 400.00  
07100900539 / Parker Motor / Parker
07100900539 / Parker Motor / Parker
 165.68  
1446-01-02 / Box dce valve 1446-01-02 / Zmisc
1446-01-02 / Box dce valve 1446-01-02 / Zmisc
 177.27  
16 Used Hps And Nor-cal Hinged Wing Nut Clamps Free Shipping
16 Used Hps And Nor-cal Hinged Wing Nut Clamps Free Shipping
 114.99  97.74  
vent vac semi gas systems
vent vac semi gas systems
 69.99  
Moore Technologies 523-1034-000  523-1036-000  523-1035  TRANSDUCER BOARD
Moore Technologies 523-1034-000  523-1036-000  523-1035  TRANSDUCER BOARD
 179.77  
0810523 / Pump high Tempprocess pff40ht / Steag Electronic Systems Inc
0810523 / Pump high Tempprocess pff40ht / Steag Electronic Systems Inc
 9 400.99  
Hyunam HPR-2004 Pop Data Terminal/Controller HPR2004 for PARTS No Power (AS/IS)
Hyunam HPR-2004 Pop Data Terminal/Controller HPR2004 for PARTS No Power (AS/IS)
 39.99  
Barnant 77300-70 Masterflex Controller / Dispenser  Used
Barnant 77300-70 Masterflex Controller / Dispenser  Used
 300.00  
DELTA TAU  ACC-24C2A 4-Axis   ASSY  603611-101
DELTA TAU  ACC-24C2A 4-Axis  ASSY 603611-101
 89.99  
R01561 00007507 Power Interface 777
R01561 00007507 Power Interface 777
 150.00  37.50  
NEAT 103M Micro Stepping Drive
NEAT 103M Micro Stepping Drive
 306.18  
NTE Electronics 502-0308 POT .2W 10K OHM 16MM 6MM SHAFT DIA 1.18" SHAFT
NTE Electronics 502-0308 POT .2W 10K OHM 16MM 6MM SHAFT DIA 1.18" SHAFT
 5.99  
Oriental Motor Dfc5128t 5-phase Motor Driver Vexta
Oriental Motor Dfc5128t 5-phase Motor Driver Vexta
 89.99  
HP CT245-25472 Bearing PiP Rear Assembly
HP CT245-25472 Bearing PiP Rear Assembly
 120.00  
Amray Model 1845fe Electron Microscope  (#3308)
Amray Model 1845fe Electron Microscope (#3308)
 5 000.00  3 750.00  
NEW MKS TECHNOLOGIES 492015-1063 HEATER JACKET- 1.5” Diameter ELBOW 120 VAC
NEW MKS TECHNOLOGIES 492015-1063 HEATER JACKET- 1.5” Diameter ELBOW 120 VAC
 350.00  
OPCON 101272-01-08 Photoeye Board Used With Warranty See All Pictures
OPCON 101272-01-08 Photoeye Board Used With Warranty See All Pictures
 59.99  
Thermco ProBoCon Controller - 14 Day Right of Return
Thermco ProBoCon Controller - 14 Day Right of Return
 150.00  
TDK EMC Filter ZRGT5080-MF 3AC500V-80A
TDK EMC Filter ZRGT5080-MF 3AC500V-80A
 150.00  
351-0202// Amat Applied 3780-01158 (2ea) Spr Cprsn [used]
351-0202// Amat Applied 3780-01158 (2ea) Spr Cprsn [used]
 10.00  
4810  New Power Plasma NPRLC-400 Controller
4810 New Power Plasma NPRLC-400 Controller
 100.00  
Shaffner FN 356-100-34 Line Filter  480/240
Shaffner FN 356-100-34 Line Filter  480/240
 200.00  
SMC VXA3 Piloted air valved  vxa3-134m
SMC VXA3 Piloted air valved  vxa3-134m
 37.50  
Amat O-ring 3700-02106
Amat O-ring 3700-02106
 449.00  
Watlow Heated Lines Vd05-5022
Watlow Heated Lines Vd05-5022
 1 899.00  
LAM: Ceramic Plate 6 inch domed 716-011563-161 (A716-0011563-161)
LAM: Ceramic Plate 6 inch domed 716-011563-161 (A716-0011563-161)
 1 370.00  
MRC Materials Research Corporation Hard Etch Bump Kit 150mm Refurbished
MRC Materials Research Corporation Hard Etch Bump Kit 150mm Refurbished
 1 106.18  553.09  
ASM AMERICA 02-320586D01 Reflector-Center-Assy
ASM AMERICA 02-320586D01 Reflector-Center-Assy
 3 056.18  
Tdk 05-60rgb Switching Power Supply
Tdk 05-60rgb Switching Power Supply
 100.00  
Verteq Rotor P/n 1070367-1 S/n 3106  A194-60mb  H-bar-in
Verteq Rotor P/n 1070367-1 S/n 3106 A194-60mb H-bar-in
 950.00  
Shinko Electric SBX93-100022-11 Interface Board PCB SRCN1 SBX08-000015-11 Used
Shinko Electric SBX93-100022-11 Interface Board PCB SRCN1 SBX08-000015-11 Used
 153.19  
Oerlikon LEYBOLD TURBOVAC MAGW 220C - Refurbished w/ Certificate
Oerlikon LEYBOLD TURBOVAC MAGW 220C - Refurbished w/ Certificate
 14 195.00  
Mori Seiki Srb-ms-ilk3a Interlock Module
Mori Seiki Srb-ms-ilk3a Interlock Module
 600.00  
690-7461-001 / Wire Flexure / Svg
690-7461-001 / Wire Flexure / Svg
 20.82  
Furon N2 Sprayer
Furon N2 Sprayer
 69.99  
Avio PHU-10 Pulse Heating Power Supply
Avio PHU-10 Pulse Heating Power Supply
 1 017.00  
Seba Instant Display Sctf-dl3
Seba Instant Display Sctf-dl3
 88.99  
Scp 8700 Liquid Dispenser Module 583-020-1a 777
Scp 8700 Liquid Dispenser Module 583-020-1a 777
 100.00  25.00  
Nikon LAMPAS Controller Interface Board LAMPAS-HOST 30051-1
Nikon LAMPAS Controller Interface Board LAMPAS-HOST 30051-1
 99.95  
Gould Usm21 Used
Gould Usm21 Used
 10.00  
Pneutronics 990-004763-008 Analog Board
Pneutronics 990-004763-008 Analog Board
 1 500.00  
NOW Technologies SD5AAAP-040314 Probe Extended
NOW Technologies SD5AAAP-040314 Probe Extended
 200.00  
C&M Technology Temp-Master Model 007 Dual Setpoint Digital Failsafe TM
C&M Technology Temp-Master Model 007 Dual Setpoint Digital Failsafe TM
 198.98  
Btu Engineering Corp 3161411 Board Assembly 777
Btu Engineering Corp 3161411 Board Assembly 777
 150.00  37.50  
Daymarc 90-9580-04 Used Control Board
Daymarc 90-9580-04 Used Control Board
 125.00  
4022.456.40362 Assy Filter Bracket 5''
4022.456.40362 Assy Filter Bracket 5''
 520.00  
Cambridge 2T-10055093-A334-NDB Absolute Filter 19.75"L x 5.5"W x 4"H
Top-Rated Plus Seller Cambridge 2T-10055093-A334-NDB Absolute Filter 19.75"L x 5.5"W x 4"H
 95.99  
AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833 Used Working
AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833 Used Working
 702.19  
Scitex 6.334.5380855.02 96.334.03 HD Mag PCB Kodax Lodem
Scitex 6.334.5380855.02 96.334.03 HD Mag PCB Kodax Lodem
 149.99  
VICOR  10-040831-03  Power Supply
VICOR  10-040831-03  Power Supply
 600.00  
Asml 4022.470.53672 Wh Shb Interface Panel
Asml 4022.470.53672 Wh Shb Interface Panel
 1 199.99  
42242 / Diphase Interface Adaptor / Ttc
42242 / Diphase Interface Adaptor / Ttc
 241.67  
Ultratech Stepper 03-20-00954 Stepper Motor MUX Board PCB Card Used Working
Ultratech Stepper 03-20-00954 Stepper Motor MUX Board PCB Card Used Working
 607.18  
S273C25 Braker
S273C25 Braker
 35.00  
ESTERLINE traxsys input input products ds48966402
ESTERLINE traxsys input input products ds48966402
 40.00  
WJ Manufacturing 8" Nitrogen Shield P/N: 918032-001 NEW
WJ Manufacturing 8" Nitrogen Shield P/N: 918032-001 NEW
 680.00  
Philips 5322 694 15232  SPECTRUM _1 CONTROL CARD
Philips 5322 694 15232 SPECTRUM _1 CONTROL CARD
 830.00  
Philips 5322 694 15486   SPECTRUM _2 CONTROL CARD
Philips 5322 694 15486 SPECTRUM _2 CONTROL CARD
 830.00  
Cleanroom Filter Cri 12254 Sn0124556
Cleanroom Filter Cri 12254 Sn0124556
 499.00  
Mykrolis WGSLSFW1M Waferpure Gas Purification Used USA 95163
Mykrolis WGSLSFW1M Waferpure Gas Purification Used USA 95163
 74.90  
Direct Logic 205 - Koyo  PLC
Direct Logic 205 - Koyo PLC
 75.00  
Daystar P/N 2271018-001
Daystar P/N 2271018-001
 299.00  
Contec PI0-32/32L(PCI) No.7097A PI03232LPCI Board Card
Contec PI0-32/32L(PCI) No.7097A PI03232LPCI Board Card
 50.00  
Omega Dfg51-2 Digital Force Gauge
Omega Dfg51-2 Digital Force Gauge
 100.00  
VAT 65039-01 seal VTASEAL rectangular  NEW
VAT 65039-01 seal VTASEAL rectangular  NEW
 30.00  
12g2-60-200-c3 12g2-60-400-b / Actuator Assembly / Intelligent Actuator
12g2-60-200-c3 12g2-60-400-b / Actuator Assembly / Intelligent Actuator
 350.00  
Daymarc 90-9580-01 Used Control Board Interface MR62471
Daymarc 90-9580-01 Used Control Board Interface MR62471
 95.00  
Daymarc 90-8632-01 Used Control Board Temperature Control MR13477
Daymarc 90-8632-01 Used Control Board Temperature Control MR13477
 95.00  
Macam Sensor PD105-B ASM Photomask Light Sensor
Macam Sensor PD105-B ASM Photomask Light Sensor
 29.99  
Heraeus Quartzglass Boat for Semiconductor/Solar 90149039
Heraeus Quartzglass Boat for Semiconductor/Solar 90149039
 35.11  
Icos M 10000 controller
Icos M 10000 controller
 99.00  
Cambridge 670 rev B Driver Board PCB Module
Cambridge 670 rev B Driver Board PCB Module
 74.99  
Bruce Btu Engineering Corp 3161411 Board Assembly 777
Bruce Btu Engineering Corp 3161411 Board Assembly 777
 100.00  25.00  
Yaskawa Minerita Motors R02sakoe Utopi-200se Servo Motor
Yaskawa Minerita Motors R02sakoe Utopi-200se Servo Motor
 100.00  
AUGUST TECHNOLOGY CORP P/N 706765 Board
AUGUST TECHNOLOGY CORP P/N 706765 Board
 199.90  
Matrix Integrated Systems Operator Interface P/n 1000-0042
Top-Rated Plus Seller Matrix Integrated Systems Operator Interface P/n 1000-0042
 425.00  340.00  
Tokin Lf-260n Noise Filter   Used
Tokin Lf-260n Noise Filter   Used
 80.00  
Cybor 2-113-002 Photoresist Power Supply Module 512
Cybor 2-113-002 Photoresist Power Supply Module 512
 1 250.00  
Ad824 / Ad824 Eight Channel Monitor / Data Instruments
Ad824 / Ad824 Eight Channel Monitor / Data Instruments
 200.00  
AMAT Applied Materials Quartz Plate
AMAT Applied Materials Quartz Plate
 225.00  
No Name 3922 536 07860 392253607860 Cassette Station
No Name 3922 536 07860 392253607860 Cassette Station
 10.00  
5010200 / Opto 22 Pcb Assy Brain Pamux / Opto 22
5010200 / Opto 22 Pcb Assy Brain Pamux / Opto 22
 395.82  
ADVANCE HICV-G130T17-139PN  Diaphragm Pump  MODEL 37454
ADVANCE HICV-G130T17-139PN Diaphragm Pump  MODEL 37454
 299.99  
323-0301// Amat Applied 0200-09450 Tube Gas Feed Od 6.35mm Bwcvd 2nd Source New
323-0301// Amat Applied 0200-09450 Tube Gas Feed Od 6.35mm Bwcvd 2nd Source New
 60.00  
Tamarack Scientific Integrating Exposure Controller EC-12-ID ID-AWM-D-1-009
Tamarack Scientific Integrating Exposure Controller EC-12-ID ID-AWM-D-1-009
 750.00  
Universal Plastics UP1108-CE EPO Emergency Power Off
Universal Plastics UP1108-CE EPO Emergency Power Off
 39.00  
Ferran Scientific Sc6-18
Ferran Scientific Sc6-18
 89.95  
New Tokyo Electron 1d10-401722-11 Bel. Cover Coverbel (drm)
New Tokyo Electron 1d10-401722-11 Bel. Cover Coverbel (drm)
 89.99  
Lg Qty Available New 301849-001 Latch Pawl HPL3-3-1
Lg Qty Available New 301849-001 Latch Pawl HPL3-3-1
 1.00  
Ultratech Stepper 05-15-04682 Rev. C Cable Assembly
Top-Rated Plus Seller Ultratech Stepper 05-15-04682 Rev. C Cable Assembly
 19.99  
GE General Electric Fanuc Circuit Board 44B398234-001 44B398312-002/1 44A397802
GE General Electric Fanuc Circuit Board 44B398234-001 44B398312-002/1 44A397802
 169.46  
Bruce Technologies System I/O Assy 3161161 Board
Bruce Technologies System I/O Assy 3161161 Board
 49.99  
BTU Engineering System Microcontroller Assy 3161951 Rev 4/7
BTU Engineering System Microcontroller Assy 3161951 Rev 4/7
 49.99  
Tescom Regulator 44-2262-A41
Tescom Regulator 44-2262-A41
 150.00  
S.M.C. Pocket Counter 2000
S.M.C. Pocket Counter 2000
 100.00  
13.56MHz Mag And Phase Detector LD302972.01 WT/BK
13.56MHz Mag And Phase Detector LD302972.01 WT/BK
 250.00  
Electroglas 3 CCD camera assy 256270-003 & 256266-001
Electroglas 3 CCD camera assy 256270-003 & 256266-001
 299.00  
IPEC Speedfam Novellus 962463  Ring  Probe Housing Adjustable Fin
IPEC Speedfam Novellus 962463  Ring  Probe Housing Adjustable Fin
 25.00  
Ircon T Series Mrt39999c Infrared Thermometer Two-wire Transmitter
Ircon T Series Mrt39999c Infrared Thermometer Two-wire Transmitter
 1 999.00  
Scp 3107a Process Timer 583-057-1a 777
Scp 3107a Process Timer 583-057-1a 777
 100.00  25.00  
 otherm DeviceNet Mini8  otherm /  Free Expedited Shipping
 otherm DeviceNet Mini8  otherm / Free Expedited Shipping
 299.00  
Tescom 50 PSIG Max Outlet -- Used --
Tescom 50 PSIG Max Outlet -- Used --
 50.00  
Btu Engineering Corp 3161171 Board 777
Btu Engineering Corp 3161171 Board 777
 150.00  37.50  
Jel Jel Robot Dtvhr4275
Jel Jel Robot Dtvhr4275
 3 999.00  
AMAT 0100-00149 Sensor Assy
AMAT 0100-00149 Sensor Assy
 80.00  
Semiquip 01-30638-800 Board
Semiquip 01-30638-800 Board
 29.99  
Tegimenta 94-02014 / 29402014001 D PCB Communication Control (4182)
Top-Rated Plus Seller Tegimenta 94-02014 / 29402014001 D PCB Communication Control (4182)
 250.00  
Vpdprt0410 / Pcb Prt M-040 / Disco
Vpdprt0410 / Pcb Prt M-040 / Disco
 260.99  
Riko Varitap Vscp-30-n Thyristor Ac Regulator
Riko Varitap Vscp-30-n Thyristor Ac Regulator
 239.00  
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM FC-2952MOEP5
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM FC-2952MOEP5
 95.00  
999-0008 Time Process Controller Semifab 777
999-0008 Time Process Controller Semifab 777
 100.00  25.00  
144-0701// Cti 8043085g480 Hose Hp Flexline Used
144-0701// Cti 8043085g480 Hose Hp Flexline Used
 500.00  
Watkins Johnson  Quad Relay Interface PCB  905718-001
Watkins Johnson  Quad Relay Interface PCB  905718-001
 120.00  
Shimadzu E1-2003M1 Turbopump Controller
Shimadzu E1-2003M1 Turbopump Controller
 1 070.00  
Multi-amp Alfa-10 Automatic Power Factor Test Set Cat # 810280  (qc8)
Multi-amp Alfa-10 Automatic Power Factor Test Set Cat # 810280 (qc8)
 6 500.00  4 875.00  
Chemat Technology KW-4A Vacuum Control 2-Speed Timer 1000RPM Spin-Coater
Chemat Technology KW-4A Vacuum Control 2-Speed Timer 1000RPM Spin-Coater
 750.00  
Watlow 31050-00 Anafaze Lon Com Card Component Side Panel Control
Top-Rated Plus Seller Watlow 31050-00 Anafaze Lon Com Card Component Side Panel Control
 179.99  
Disco Sensor Unit model Ahth002-01  P/n Lnmr-010053-00 Nos
Top-Rated Plus Seller Disco Sensor Unit model Ahth002-01  P/n Lnmr-010053-00 Nos
 195.00  156.00  
Multitest Handler MT 85 Power Board Ver. 2.2 Part #49.04.21.00
Multitest Handler MT 85 Power Board Ver. 2.2 Part #49.04.21.00
 49.99  
Drytek / LAM TRANSPORT I/O PCB  P/N 2800911 REV 7
Top-Rated Plus Seller Drytek / LAM TRANSPORT I/O PCB  P/N 2800911 REV 7
 400.00  320.00  
Smc Vxa2132m-01-b Pilot Valve  Nos
Top-Rated Plus Seller Smc Vxa2132m-01-b Pilot Valve  Nos
 57.25  
Hirata Board Set HPC-784A And HPC-778
Hirata Board Set HPC-784A And HPC-778
 69.97  
AMAT 0140-70093  Cable
AMAT 0140-70093  Cable
 35.00  
Tylan Fc-260v-4v 6 Slm N2  Vcr
Tylan Fc-260v-4v 6 Slm N2  Vcr
 275.00  247.50  
Liebert Acv208d100rk Surge Protection System
Liebert Acv208d100rk Surge Protection System
 180.95  
Optimised Control Motion Controller Card  D281 Issue 4  Used
Optimised Control Motion Controller Card  D281 Issue 4  Used
 425.00  
ASM Cable Assembly 02-195706-01 ASSY-CA-A36/A48-PC402
ASM Cable Assembly 02-195706-01 ASSY-CA-A36/A48-PC402
 13.00  
Koyo Osc-0014 Pcb Board
Koyo Osc-0014 Pcb Board
 100.00  
DTX TRANSMITTER SIGNAL COMMUNICATIONS S/N-490-0257 S/N-111019596 *Free Shipping*
DTX TRANSMITTER SIGNAL COMMUNICATIONS S/N-490-0257 S/N-111019596 *Free Shipping*
 150.00  
AMAT 678200 Lower Heat Shield
AMAT 678200 Lower Heat Shield
 2 000.00  
Trackball Assembly Pn 18-010557
Trackball Assembly Pn 18-010557
 150.00  
NIKON TPC-42V-0 4S013-224 | PCB BOARD | Pre-Owned
NIKON TPC-42V-0 4S013-224 | PCB BOARD | Pre-Owned
 49.99  
Smc L-motion Slit Valve Xgt222-30-1a-x242
Smc L-motion Slit Valve Xgt222-30-1a-x242
 1 800.00  
(1) Pen Holder Assembly ASM 73008-02691 H1571
(1) Pen Holder Assembly ASM 73008-02691 H1571
 65.00  
Parker Veriflow  Series 06-442VFVF
Parker Veriflow  Series 06-442VFVF
 30.00  
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve  452468
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve  452468
 150.00  
AMAT Applied Materials 0130-00525 Chamber I/O Display Rev. 003 Used Working
AMAT Applied Materials 0130-00525 Chamber I/O Display Rev. 003 Used Working
 508.12  
BECKHOFF KL1408 (10) /  Free Expedited Shipping
BECKHOFF KL1408 (10) / Free Expedited Shipping
 399.00  
12" Silicon Wafer Used 029
12" Silicon Wafer Used 029
 96.50  
BTU Engineering Video Assy Board 3181181
BTU Engineering Video Assy Board 3181181
 49.99  
3000401 / Blade Endeffector 8" Vers. 2 / Mattson
3000401 / Blade Endeffector 8" Vers. 2 / Mattson
 2 325.69  
Julabo TCU1 High Precision Heat Exchanger - Never Used
Julabo TCU1 High Precision Heat Exchanger - Never Used
 4 725.00  
Future Hardware Technology 02-404855-01
Future Hardware Technology 02-404855-01
 28.50  
SMC Pressure Switch ISE30-01-65 ISE300165 12-24VDC Used
SMC Pressure Switch ISE30-01-65 ISE300165 12-24VDC Used
 29.99  
CSTR-MBBCA0AAA Board  CSTR-MBBCA06AAA / 400-015-078-A0V / Rev A / Yaskawa
CSTR-MBBCA0AAA Board  CSTR-MBBCA06AAA / 400-015-078-A0V / Rev A / Yaskawa
 301.00  
SPTS Technologies  AC132802 A.M.C.1 A MODULE
SPTS Technologies AC132802 A.M.C.1 A MODULE
 400.00  
3s80-000007-11 / Turbo Pump Turbomolecular Pump Turbo / Tokyo Electron Tel
3s80-000007-11 / Turbo Pump Turbomolecular Pump Turbo / Tokyo Electron Tel
 15 998.03  
Meiden Piboc-i Controller  Model Ua026/811r
Meiden Piboc-i Controller  Model Ua026/811r
 4 500.00  
Ferrotec 50-103191J FerroFluidic Feed Through B34052 (8257)W
Top-Rated Plus Seller Ferrotec 50-103191J FerroFluidic Feed Through B34052 (8257)W
 250.00  
Edwards Temperature Management System P/n.aa01390   Removed From Working Machine
Edwards Temperature Management System P/n.aa01390   Removed From Working Machine
 400.00  
Philips Analytical Digital Extension PCB
Philips Analytical Digital Extension PCB
 175.00  
46943-00 / Pea Vavle / Gemu
46943-00 / Pea Vavle / Gemu
 194.62  
Assembleon 5322 466 83072 Centering Block 777
Assembleon 5322 466 83072 Centering Block 777
 75.00  18.75  
Anelva Gasket 953-5015 1FJD24-16312
Anelva Gasket 953-5015 1FJD24-16312
 20.00  
Robot AWM-C-4-3-001
Robot AWM-C-4-3-001
 350.00  
Extraction Systems Inc. TMBRTM-10  TOTAL MOLECULAR BASE REAL TIME MONITOR
Extraction Systems Inc. TMBRTM-10  TOTAL MOLECULAR BASE REAL TIME MONITOR
 6 500.00  5 200.00  
Amat 0021-77125 Roller  Roller Assy  New
Amat 0021-77125 Roller  Roller Assy  New
 220.00  
Weldon Current Shunt Interface Module 0R80-0615-00 0R80061500 12 Volt Used
Weldon Current Shunt Interface Module 0R80-0615-00 0R80061500 12 Volt Used
 28.00  
ASM Flexible Wirewound Heater 1091-163-01
ASM Flexible Wirewound Heater 1091-163-01
 16.00  
Parker Veriflow  Series 18E-VFVF
Parker Veriflow  Series 18E-VFVF
 35.00  
Parker Veriflow  Series 18E-VMVM
Parker Veriflow  Series 18E-VMVM
 35.00  
Asm 03-107556d01 Display Driver/keyboard Interface Board 777
Asm 03-107556d01 Display Driver/keyboard Interface Board 777
 250.00  62.50  
Lockwood Loc-Line Flexible Hose Connectors  6Y675  4 per Pkg  New
Lockwood Loc-Line Flexible Hose Connectors  6Y675  4 per Pkg  New
 16.99  
AMAT 0200-08347 Robot Blade  450267
AMAT 0200-08347 Robot Blade  450267
 1 500.00  
Benchtop Chemical Bath
Benchtop Chemical Bath
 350.00  
Cymer S05-09005-05 Stabilization Module Used Working
Cymer S05-09005-05 Stabilization Module Used Working
 5 010.17  2 004.07  
4022.471.96761 Paac 450/20
4022.471.96761 Paac 450/20
 800.00  
Adaptabeacon 104FINHA-G1 24v .77Amps
Adaptabeacon 104FINHA-G1 24v .77Amps
 29.99  
Dressler ProSys HiLight 012PSVCO MicroPower Megasonic Power Supply - Parts (*)
Dressler ProSys HiLight 012PSVCO MicroPower Megasonic Power Supply - Parts (*)
 286.00  
Fastcom 8 / 8 Port Rs-232 Adapter / Commtech / Fastcom
Fastcom 8 / 8 Port Rs-232 Adapter / Commtech / Fastcom
 300.00  
GE/Fanuc Isolated Relay IC670MDL331K
Top-Rated Plus Seller GE/Fanuc Isolated Relay IC670MDL331K
 307.89  
Applied Materials 19200-12917670 (xl) Mfr-96214 0303
Applied Materials 19200-12917670 (xl) Mfr-96214 0303
 899.99  593.99  
Mcdanel Ceramics Termocouple High Temperature tube 0.43 X 0.695 X 28" Long COE
Mcdanel Ceramics Termocouple High Temperature tube 0.43 X 0.695 X 28" Long COE
 159.99  
ASM 02-325956D01 ASSY Transfer Arm 150mm WHC
ASM 02-325956D01 ASSY Transfer Arm 150mm WHC
 1 807.18  
Keyence Lt-9030 Scanning Sensor Head
Keyence Lt-9030 Scanning Sensor Head
 500.00  
Cymer 05-05031-15V Signal Conditioner PCB Board Used Working
Cymer 05-05031-15V Signal Conditioner PCB Board Used Working
 1 912.17  
Oriel 60200 Recirculating Cooler Used Working
Oriel 60200 Recirculating Cooler Used Working
 607.12  
RE Dixon 9060302 Bellows 2" Top Screw 2-5/8" OD 2" ID 6" Collapsed Rubber Silc
RE Dixon 9060302 Bellows 2" Top Screw 2-5/8" OD 2" ID 6" Collapsed Rubber Silc
 155.00  
Swagelock  SS-6NKRVCR8
Swagelock  SS-6NKRVCR8
 30.00  
Swagelock  SS-8C-VCR-10
Swagelock  SS-8C-VCR-10
 30.00  
Whitey/Swagelok  SS-6BVCR8-SC11
Whitey/Swagelok  SS-6BVCR8-SC11
 30.25  
Acatel Turbo Controller Cff 450 - Type . 8220
Acatel Turbo Controller Cff 450 - Type . 8220
 450.00  
BECKHOFF BK5220 / Free Expedited Shipping
BECKHOFF BK5220 / Free Expedited Shipping
 169.00  
Edwards PV40PKA DBL-B vacumm valve S# 964092783 P# C41417000
Edwards PV40PKA DBL-B vacumm valve S# 964092783 P# C41417000
 139.00  
Aviza Technology PCB  CLPB  Motion CNTL-APL 168050-002
Top-Rated Plus Seller Aviza Technology PCB  CLPB  Motion CNTL-APL 168050-002
 99.99  
Q'son Precision BEI Cover Insert Assembly EM Spoiler CB71271-001 MOGCB71271-001
Q'son Precision BEI Cover Insert Assembly EM Spoiler CB71271-001 MOGCB71271-001
 49.99  
ASML 4922.470.0892 TUR Remote Control Box ASML Reticle Handler Used Working
ASML 4922.470.0892 TUR Remote Control Box ASML Reticle Handler Used Working
 1 010.14  
Thermocarbon DiceMaster Diamond Blade 2.050-8C-54RU7-3 LOT of 8 NEW (5997)
Top-Rated Plus Seller Thermocarbon DiceMaster Diamond Blade 2.050-8C-54RU7-3 LOT of 8 NEW (5997)
 85.00  
Digital View Bare PCB Interface Part 416960051-3  2008. Discontinued
Digital View Bare PCB Interface Part 416960051-3  2008. Discontinued
 40.00  
Zeiss 347801-9001-200 Scan Generator Komplett with 14 day warranty
Zeiss 347801-9001-200 Scan Generator Komplett with 14 day warranty
 2 900.00  
PN 7100-5168-08 AG Associates Heatpulse PCB
PN 7100-5168-08 AG Associates Heatpulse PCB
 3 500.00  
SMC Quick Change Filter Model FQ1011N-04-R002N-X109
SMC Quick Change Filter Model FQ1011N-04-R002N-X109
 299.00  
Lot of 3 Ion Systems  Inc. Nilstat 2020 Air Ionizer
Lot of 3 Ion Systems  Inc. Nilstat 2020 Air Ionizer
 90.00  
APTECH Regulator AP1802S 3PW FV8 GA
APTECH Regulator AP1802S 3PW FV8 GA
 49.99  
AE APEX 2305722-A 1306648-01 A AE-01-254 / Free Expedited Shipping
AE APEX 2305722-A 1306648-01 A AE-01-254 / Free Expedited Shipping
 299.00  
ASM 232720190.11 REV. F 632720300.00 REV. G / Free Expedited Shipping
ASM 232720190.11 REV. F 632720300.00 REV. G / Free Expedited Shipping
 299.00  
ASM 232720110.11 REV. E 632720110.00 REV. J / Free Expedited Shipping
ASM 232720110.11 REV. E 632720110.00 REV. J / Free Expedited Shipping
 299.00  
ASM 232620014.11 REV. E 632620060.00 REV. E / Free Expedited Shipping
ASM 232620014.11 REV. E 632620060.00 REV. E / Free Expedited Shipping
 299.00  
ASM 232620022.11 REV. D 632620022.00 REV. F / Free Expedited Shipping
ASM 232620022.11 REV. D 632620022.00 REV. F / Free Expedited Shipping
 289.00  
AUGUST TECHNOLOGY CORP. SERVO AMPLIFIER  PN 200222 Rev C AN 701223
AUGUST TECHNOLOGY CORP. SERVO AMPLIFIER PN 200222 Rev C AN 701223
 269.00  
Npaq Control Pcb .
Npaq Control Pcb .
 890.00  
ASML 4022.634.15924 GBSL Board
ASML 4022.634.15924 GBSL Board
 1 200.00  
Mrc Chamber Blank Off Kdf0776
Mrc Chamber Blank Off Kdf0776
 199.00  
Verteq M-002-05 Frequency Generator
Top-Rated Plus Seller Verteq M-002-05 Frequency Generator
 110.62  
Silicon Reticles 7''
Silicon Reticles 7''
 72.00  
Benchtop Chemical Bath
Benchtop Chemical Bath
 350.00  
Benchtop Chemical Bath with Lid
Benchtop Chemical Bath with Lid
 400.00  
Lot of 12 * HP / AGILENT Mixed VXI Blocks
Lot of 12 * HP / AGILENT Mixed VXI Blocks
 1 199.99  
Gasonic NOVELLUS IRIDIA PEP 4800 DL
Gasonic NOVELLUS IRIDIA PEP 4800 DL
 150 000.00  
Zeiss 347924-9010-1002 L1400 Uniplimth Board Siemens 347524-9010-000 + warranty
Zeiss 347924-9010-1002 L1400 Uniplimth Board Siemens 347524-9010-000 + warranty
 2 900.00  
Cymer 05-06080-04 Automatic Shutter Assembly Used Working
Cymer 05-06080-04 Automatic Shutter Assembly Used Working
 1 908.18  
Nikon 4S018-831 Backplane Interface Board PCB LIUBPB2X2 NSR System Used Working
Nikon 4S018-831 Backplane Interface Board PCB LIUBPB2X2 NSR System Used Working
 1 911.18  1 337.83  
^^ Leitz Trinocular Head- Vertical Illuminator & Periplan 10x Eyepieces   (sj32)
^^ Leitz Trinocular Head- Vertical Illuminator & Periplan 10x Eyepieces (sj32)
 250.00  187.50  
KULICKE & SOFFA Model # 4322  4-Channel Controller. (0193)
KULICKE & SOFFA Model # 4322  4-Channel Controller. (0193)
 175.00  
HP Agilent E5515 Reference 61182 (3947) Made in UK
HP Agilent E5515 Reference 61182 (3947) Made in UK
 160.97  
Atmi A1 Signal Interface Module
Atmi A1 Signal Interface Module
 1 600.00  
Minarik MicroMaster WP6311-AAAA Controller  WP6311AAAA  WP6311  424541
Minarik MicroMaster WP6311-AAAA Controller  WP6311AAAA  WP6311  424541
 550.00  
AE APEX 2305738-D 1315201 D  / Free Expedited Shipping
AE APEX 2305738-D 1315201 D / Free Expedited Shipping
 219.00  
AE APEX 2305650-A 1310032 D 1310032 E  /  Free Expedited Shipping
AE APEX 2305650-A 1310032 D 1310032 E / Free Expedited Shipping
 249.00  
USHIO GP SIO5 NO.931004 9607113/U00  / Free Expedited Shipping
USHIO GP SIO5 NO.931004 9607113/U00 / Free Expedited Shipping
 209.00  
AUGUST TECHNOLOGY NSX Safety Interlock S I/O PN 702126 Rev A PN 702124 Rev C
AUGUST TECHNOLOGY NSX Safety Interlock S I/O PN 702126 Rev A PN 702124 Rev C
 
IPN 4-32 141    VOITH    Gear pump   
M24X1 5 160GR    VOITH    Thermal switch unit   
TCR.03658024    VOITH    Seal ring   
H84.562967    VOITH    Linear Actuator   
TCR.11973940    VOITH    Switching element   
IPV /6-/80    VOITH    Internal gear pump   
IPVW 6/-100/ 151    VOITH    Internal gear pump   
DSG-B05143    VOITH    Pressure actuator   
TCR.10633550    VOITH    BTS Switching Element   
274 TE-X    VOITH    Turbo coupling with e motor   
TCR.03658010    VOITH    seal ring   
Safeset oil 522 500ml    VOITH    Oil   
acc. to EN 10204-2.1    VOITH       
WSR-E60226-S | ATEX | 3/3 | SIL 2 |    VOITH    Wegeschieber   
201.03285910    VOITH    Fusible Plug
SHI Control Systems 646751-102AA  / Free Expedited Shipping
SHI Control Systems 646751-102AA / Free Expedited Shipping
Voith GR206 Turbo Coupling 1-3/8 X 1-1/2in  
Medite Tes99  Tes 99.420
Medite Tes99 Tes 99.420
Voith WST2-381-00031GSA Centrisorter Sleeve 2-1/2 X 1-3/4 X 5-1/2 In B314146
LOT of 2 12042 TIM100 SENTRY EXHAUST FLOW CONTROLLERS   AS IS
LOT of 2 12042 TIM100 SENTRY EXHAUST FLOW CONTROLLERS AS IS
09-333E-05-B5  VST 09-18
Brooks 6256sbzvclac Smart Mass Flow Controller  P/n 22-045874-00
Brooks 6256sbzvclac Smart Mass Flow Controller  P/n 22-045874-00
Nordstrom Flowserve Hypergun & Plus 5Q Minor Kit
Smc Msqxb10a Low Speed Rotary Cylinder  New Open Box
Top-Rated Plus Seller Smc Msqxb10a Low Speed Rotary Cylinder  New Open Box
ONTROL TECHNIQUES    M701-03400062A10;
3D Instruments  Test Gauge  P/N 25544-21B11
3D Instruments  Test Gauge  P/N 25544-21B11
  FLENDER弗兰德 FGO887 配苏司兰S64/1.25MW型风力机用 Φ990/W404 SLIP%1.8-2.0 容量:72L
Siemens CPST RF Driver 31196/15M00107-01 1954873 (Used Working)
Siemens CPST RF Driver 31196/15M00107-01 1954873 (Used Working)
电缆压头 PCX-14014-120 CAVOTEC,   大车电缆插头绝缘指套,订货号:PCX-21510-401,适用于公插头,CAVOTEC,
Kurt Lesker Wide Vacuum Gauge Kjl-902056
Kurt Lesker Wide Vacuum Gauge Kjl-902056
 79.99  
Opal 70312970000 Analog Control Board
Opal 70312970000 Analog Control Board
Sealweld valve flush S-VCP-SGC
Sealweld valve flush S-VCP-9P
Sealweld valve flush S-VCP-36P

SEALWELD lubrication gun G-SGUN-C  

SEALWELD lube sealant 911

SEALWELD SEALANT #5050 S-VS-SGC  

SEALWELD GREASE  #1000 S-EL-SGC

Nordstrom SEALANT 960
NORDSTROM HOSE 47501 Hose
NORDSTROM CONNECTOR 64584 GBH Coupler
Nordstrom  LUB PUMP  Hypregun-Plus 5Q
NORDSTROM SEALANT 735-J   
NORDSTROM SEALANT  731-J

Hypregun-Plus 5G

Hypregun-Plus 5Q

NORDSTROM 400-D

NORDSTROM 400A


LUBCHEM GREASE EV1WG40 EVERLAST 1 WG  40 LB. PAIL

LUBCHEM GREASE PUMP FF-100 FORCE FLOW 100

VAL-TEX VF-10      

VAL-TEXG rease Gun Easy Lube H.P. Pump  10-70   

VAL-TEX Lube Sealant 700-S-40

VAL-TEX VF-CTN Valve Flush

VAL-TEX 80-H-J Lube Sealant

VAL-TEX 80+FTFE-J Leak Sealant

VAL-TEX 2000-S-10 Lubricant

VAL-TEX 2000-S-P Light lube

VAL-TEX 80-H-K Lube Sealant

VAL-TEX 80-FTFE-K Sealant

MELANCON 62-CT Screw Type Grease Gun Complete w/ Gauge  Hose Assy. & G.B. Coupler w/ Pressure Release for 14.5 oz. to 16 oz. Cartridges

MELANCONLubrication Gun NO14

MELANCON Lube Sealant 536

Melancon Lube Sealant No 90

MelanconLube Sealant  No 90HT

Melancon Lube Sealant No 91

Melancon Lube Sealant No 94

RSC-D0905/09/US18K    CLARE 701 US 5 GALLON PAIL

RS CLARE LUBE CLARE 601
LOHER Electric Motor

670HP  3000/2985 RPM  IMB3  3PH  6000V  500KW  56A  IP: 56
Honeywell MST Sensor HF 0-10.0 PPM 9602-6500
Type: AHSA-450LK-02A
Honeywell Sensor MST C12-Sensor 9602-5300
Power Factor: 0.90  48.5/50HZ
TS-Moly 115
 TS-Moly 116  
TS-Moly 123  
TS-Moly 201  
TS-Moly 209  
TS-Moly 209-0  
TS-Moly 210  
TS-Moly 26  
TS-Moly 333  
Honeywell MST Sensor HF 0-10.0 PPM 9602-6500
 SWEPCO 802 Open Gear Lube
SSMD34590-DDMV09
SWEPCO 803 Chain & Cable Lubricant
SSMD34590-DDMV09
 SWEPCO 808 Penetrating Oil
SSMD34590-DDMV09
SWEPCO 812 Moly Dry Lube
Hathaway BLC02805-A00108 Power Supply Board PCB Untested As-Is
Hathaway BLC02805-A00108 Power Supply Board PCB Untested As-Is
Vintage Raymarine RAY45 CB VHF Radio A3
43700932 / Pressure Regulator / Veriflo Valves Parker
43700932 / Pressure Regulator / Veriflo Valves Parker
桥架槽体    [2米/根][CPIKFS K-15/15-S]    PFLITSCH
桥架盖    [2米/根][CPIKFS D 15-S]    PFLITSCH
护边    [PP][CPIKFS KS 15/15-TPE]    PFLITSCH
直角弯通    [CPIKFS WVF 15/15-VA]    PFLITSCH
网格桥架    [2.5米/根][CGKXFS-53X46-V4A]    PFLITSCH
桥架盖板    [2.5米/根 网格桥架用][CGKXFS-DD-53-V4A]    PFLITSCH
卡扣    [CGKFS-L-X1-V4A]    PFLITSCH
桥架    [PVC 颜色RAL7035 40x57mm 2米/根][LF4006007035]    HAGER
桥架    [PVC 颜色RAL7035 40x90mm 2米/根][LF4009007035]    HAGER
桥架    [PVC 颜色RAL7035 80x130mm 2米/根][FB80130070351]    HAGER
桥架    [PC 颜色RAL7035 60x90mm 2米/根][LFH6009007035]    HAGER
电缆固定夹    [M5281]    HAGER
电缆固定夹    [M7248]    HAGER
电缆固定夹    [M7239]    HAGER
Engine GE 12V228MD  serial No.  310793

6MI-40X
6MI-40X
35 HP
Displacement : 120.5 m3/h

4MU-25X
4MU-25X
25 HP
Displacement : 99.4 m3/h

4MH-25X
4MH-25X
25 HP
Displacement : 71.4 m3/h
Supplier product code    ECH8DP15000TSK
Product Code    ECH8DP15000TSK
COPELAND RECIPROCATING DISCUS 8D COMPRESSORS SUPPLIERS IN DUBAI
RECIPROCATING DISCUS 8D COMPRESSORS
Copeland Discus 8DP1-5000-TSK
8DP1-5000-TSK (Air Conditioning)
Volts/Phase : 208/230-3   CFH : 6429
Copeland Discus 8DP1-5000-TSD
8DP1-5000-TSD (Air Conditioning)
Volts/Phase : 460-3  CFH : 6429
Copeland Discus 8DP1-5000-TSE
8DP1-5000-TSE (Air Conditioning)
Volts/Phase : 575-3  CFH : 6429
Copeland Discus 8DP1-5000-FSD
8DP1-5000-FSD (Air Conditioning)
Volts/Phase : 460-3  CFH : 6429
Copeland Discus 8DS1-6000-TSK
8DS1-6000-TSK (Air Conditioning)
Volts/Phase : 208/230-3  CFH : 7609
Copeland Discus 8DS1-6000-TSD
8DS1-6000-TSD (Air Conditioning)
Volts/Phase : 460-3  CFH : 7609
Copeland Discus 8DS1-6000-TSE
8DS1-6000-TSE (Air Conditioning)
Volts/Phase : 575-3  CFH : 7609
Copeland Discus 8DS1-6000-FSD
8DS1-6000-FSD (Air Conditioning)
Volts/Phase : 460-3  CFH : 7609
GE 7S 1512 turbocharger
Part Number: 8DP1-5000-TSK-800 - COPELAND COMPRESSORS Parts
8DP1-5000-TSK-800
8DP1-5000-TSK-800
Turbocharger part 126X2091  model No. 7S1512A3  serial No. GC09080056

    TEL P-8 Panasonic MSD011P1EA03 AC Servo Driver Set
Kensington 4000B Servo Positioning Controller
Kensington 4000B Servo Positioning Controller
 350.00  
100016886 / Valve Lpj2  25ak  100 90byps Amat Pn 3870-06568 / Mks
100016886 / Valve Lpj2  25ak  100 90byps Amat Pn 3870-06568 / Mks
 400.00  
Disco YEAJ-GA1622 Flow Meter  Switch 412385
Disco YEAJ-GA1622 Flow Meter  Switch 412385
 150.00  
TEL INDEXER INTERCONNECT 3281-000083-11 3208-000083-11/Free Expedited Shipping
TEL INDEXER INTERCONNECT 3281-000083-11 3208-000083-11/Free Expedited Shipping
 199.00  
TEL PCB INDEX BASE 3281-000141-12 / Free Expedited Shipping
TEL PCB INDEX BASE 3281-000141-12 / Free Expedited Shipping
Daikin McQuay 8DP1-5000-TSK-R COMPRESSOR REMANUFACTURED SEMI-H 50HP 208-460/60/3 208/230/460/60/3 200/380/420/50/3 COPELAND # 8DP1-5000-TSK ***IMPORTANT NOTES: McQuay  Daikin ENGINEERING STRONGLY SUGGESTS THE INSTALLATIONOF A SENTRONIC OIL PUMP SWITCH -
ampere MACRO 6301-3  / Free Expedited Shipping
ampere MACRO 6301-3 / Free Expedited Shipping
Daikin McQuay 8DP1-5000-TSK-R COMPRESSOR REMANUFACTURED SEMI-H 50HP 208-460/60/3 208/230/460/60/3 200/380/420/50/3 COPELAND # 8DP1-5000-TSK ***IMPORTANT NOTES: McQuay  Daikin ENGINEERING STRONGLY SUGGESTS THE INSTALLATIONOF A SENTRONIC OIL PUMP SWITCH - MQP# 059986001 - WHEN THISCOMPRESSOR IS USED IN A FIELD REPLACEMENT APPLICATION.CORE DEPOSIT FOR THIS COMPRESSOR IS $1000.00 AND THIS WILLBE CREDITED UPON RETURN OF CORE.REPLACES 8DP1-5000-TSK-P  071667801 CAN SUBSTITUTE WITH 300038715 NOTE: SEE KIT NOTE FOR COMPONENTS RETURN FREIGHT ON CORE IS PAID BY MANUFACTER  CORE CHARGE IS INCLUDED IN NET PRICE AND IS CREDITED UPON RETURN OF CORE  AN RMA WILL BE ISSUED AND E-MAILED TO YOU FOR THE RETURN OF THE CORE WITHIN 24-48 HOURS AFTER ORDERING
ampere MACRO 6743  / Free Expedited Shipping
ampere MACRO 6743 / Free Expedited Shipping
Daikin McQuay 8DP1-5000-TSK-R COMPRESSOR REMANUFACTURED SEMI-H 50HP 208-460/60/3 208/230/460/60/3 200/380/420/50/3 COPELAND # 8DP1-5000-TSK ***IMPORTANT NOTES: McQuay  Daikin ENGINEERING STRONGLY SUGGESTS THE INSTALLATIONOF A SENTRONIC OIL PUMP SWITCH - MQP# 059986001 - WHEN THISCOMPRESSOR IS USED IN A FIELD REPLACEMENT APPLICATION.CORE DEPOSIT FOR THIS COMPRESSOR IS $1000.00 AND THIS WILLBE CREDITED UPON RETURN OF CORE.REPLACES 8DP1-5000-TSK-P  071667801 CAN SUBSTITUTE WITH 300038715 NOTE: SEE KIT NOTE FOR COMPONENTS RETURN FREIGHT ON CORE IS PAID BY MANUFACTER  CORE CHARGE IS INCLUDED IN NET PRICE AND IS CREDITED UPON RETURN OF CORE  AN RMA WILL BE ISSUED AND E-MAILED TO YOU FOR THE RETURN OF THE CORE WITHIN 24-48 HOURS AFTER ORDERING
ORBOTECH ORBOT-DNV2-015842  /  Free Expedited Shipping
ORBOTECH ORBOT-DNV2-015842 / Free Expedited Shipping
Copeland 8DP-1-5000-TSK 208/230/460
ORBOTECH ORBOT-DNV3-013832-REV. H  /  Free Expedited Shipping
ORBOTECH ORBOT-DNV3-013832-REV. H / Free Expedited Shipping
Bitzer Compressor 6B6462PH-2NU
Tokyo Electron CT386-440791-2 Nozzle Head Sensor Assembly
Tokyo Electron CT386-440791-2 Nozzle Head Sensor Assembly
 110.00  
1-413-925-12 Power Supply  1-413-925-12 / SOPS-1046 / Sony
1-413-925-12 Power Supply  1-413-925-12 / SOPS-1046 / Sony
2DD3R63K0-TFD-200 2DD3-0500-TFD-200 X
2DD3R63KE-TFD-200 2DD3-050E-TFD-200 X
2DA3R89KE-TFD-200 2DA3-0750-TFD-200 X
2DA3R89KE-TFD-200 2DA3-075E-TFD-200 X
3DA3R10M0-TFD-200 3DA3A-0750-TFD-200 X
3DA3R10ME-TFD-200 3DA3A-075E-TFD-200 X
3DB3R12M0-TFD-200 3DB3A-1000-TFD-200 X
3DB3R12ME-TFD-200 3DB3A-100E-TFD-200 X
3DS3R17M0-TFD-200 3DS3A-1500-TFD-200 X
3DS3R17ME-TFD-200 3DS3A-150E-TFD-200 X
4DA3R18M0-TSK-200 4DA3A-2000-TSK-200 X
4DA3R18ME-TSK-200 4DA3A-200E-TSK-200 X
4DH3R22M0-TSK-200 4DH3A-2500-TSK-200 X
4DH3R22ME-TSK-200 4DH3A-250E-TSK-200 X
4DJ3R28M0-TSK-200 4DJ3A-3000-TSK-200 X
4DJ3R28ME-TSK-200 4DJ3A-300E-TSK-200 X
6DGR37M0-TSN-200 6DG3A-3500-TSN-200 X
6DGR37ME-TSN-200 6DG3A-350E-TSN-200 X
6DJ3R40M0-TSN-200 6DJ3A-4000-TSN-200 X
6DJ3R40ME-TSN-200 6DJ3A-400E-TSN-200 X
8DP1R56M0-TSK-200 8DP1-5000-TSK-200 X
8DS1R67M0-TSK-200 8DS1-6000-TSK-200  
09007-0140 Instrument Air Gauge
09007-0140 Instrument Air Gauge
 35.00  
Spectrum 760059 760056 P/N: 014204-020 Board
Spectrum 760059 760056 P/N: 014204-020 Board
 68264642   CHOKE KIT  ACHO5850 SP KIT (68264642)
Type CHOKE ACHO5850 SP KIT Сustoms Tariff Code 85045095 Produced in Estonia Weight 33.11 kg ID 68264642 Item Name CHOKE ACHO5850 SP KIT
Fohrenbach PPY23077 Semi-Automatic Single Pin Insertion Machine (2014 Vintage)
Fohrenbach PPY23077 Semi-Automatic Single Pin Insertion Machine (2014 Vintage)
2DF3F16K0-TFD-200 2DF3-0300-TFD-200 X
2DF3F16KE-TFD-200 2DF3-030E-TFD-200 X
2DL3F20K0-TFD-200 2DL3-0400-TFD-200 X
2DL3F20KE-TFD-200 2DL3-040E-TFD-200 X
2DB3F25K0-TFD-200 2DB3-0600-TFD-200 X
2DB3F25KE-TFD-200 2DB3-060E-TFD-200 X
3DA3F28K0-TFD-200 3DA3A-0600-TFD-200 X
3DA3F28KE-TFD-200 3DA3A-060E-TFD-200 X
3DB3F33K0-TFD-200 3DB3A-0750-TFD-200 X
3DB3F33KE-TFD-200 3DB3A-075E-TFD-200 X
3DF3F40K0-TFD-200 3DF3A-0900-TFD-200 X
3DF3F40KE-TFD-200 3DF3A-090E-TFD-200 X
3DS3F46K0-TFD-200 3DS3A-1000-TFD-200 X
3DS3F46KE-TFD-200 3DS3A-100E-TFD-200 X
4DL3F63K0-TSK-200 4DL3A-1500-TSK-200 X
4DL3F63KE-TSK-200 4DL3A-150E-TSK-200 X
4DT3F76K0-TSK-200 4DT3A-2200-TSK-200 X
4DT3F76KE-TSK-200 4DT3A-220E-TSK-200 X
6DL3F93K0-TSK-200 6DL3A-2700-TSK-200 X
6DL3F93KE-TSK-200 6DL3A-270E-TSK-200 X
6DT3F11M0-TSK-200 6DT3A-3000-TSK-200 X
6DT3F11ME-TSK-200 6DT3A-300E-TSK-200
Nikon 4S008-096-1 Video Control Board PCB NIIP-VIDEO-M Used Working
Nikon 4S008-096-1 Video Control Board PCB NIIP-VIDEO-M Used Working
 2 210.17  1 326.10  
Tel Tokyo Electron Bx81-070092 Interface Pcb Lot Of 3
Tel Tokyo Electron Bx81-070092 Interface Pcb Lot Of 3
 199.95  
Smc Vxa2130p-01-b Pilot Valve  Nos
Top-Rated Plus Seller Smc Vxa2130p-01-b Pilot Valve  Nos

EMERSON

M400-03400100A   4kw

Certificate No.: ITS09ATEX16867X

M600-06400470A  22kw


UE差压变送器1XTXSWK13电子压力开关 带显示
ONE系列型号
1XTXSWP06 1XTXSWP08 1XTXSWP10 1XTXSWP11 1XTXSWP12 1XTXSWP13 1XTXSWP13
1XTXSWP14 1XTXSWP15 1XTXSWP16 1XTXSWP17 1XTXSWP18 1XTXSWP19 1XTXSWP20
1XTXSWK10 1XTXSWK11 1XTXSWK12 1XTXSWK13 1XTXSWK14 1XTXSWTL1 1XTXSWTL2
1XTXSWTL3 1XTXSWTR1 1XTXSWTRC 1XTXSWTH1 1XTXSWTHC 1XTXSWTC1 1XTXSWTCC

M600-04400172A7.5kw
AUGUST TECHNOLOGY AXI LT TWR RLY PN 708669 Rev A AN 708670 Rev A
AUGUST TECHNOLOGY AXI LT TWR RLY PN 708669 Rev A AN 708670 Rev A
 189.00  
Interface MAT-4914 / Free Expedited Shipping
Interface MAT-4914 / Free Expedited Shipping
 139.00  
GSI General Scanning 229.017.01 Servo Interface w/ 222.033.00 Photo Receiver PCB
GSI General Scanning 229.017.01 Servo Interface w/ 222.033.00 Photo Receiver PCB
 499.99  175.00  
Lot of 2 MILLIPORE SPT 204 TRANSDUCER 100 PSIG
Lot of 2 MILLIPORE SPT 204 TRANSDUCER 100 PSIG
 140.00  
Daymarc 94-1967-01 Contactor Insert  Set Critical MR63676
Daymarc 94-1967-01 Contactor Insert  Set Critical MR63676
 45.00  
TEL 381-639007-5 Indexer Connection Board
TEL 381-639007-5 Indexer Connection Board
 274.99  
Commonwealth Scientific CAF-38 Controller 175 Watts/117 Volts
Commonwealth Scientific CAF-38 Controller 175 Watts/117 Volts
 596.59  298.29  
TEL 3208-000043-14 PCB DISPLAY DRIVER 3281-000043-1A / Free Expedited Shipping
TEL 3208-000043-14 PCB DISPLAY DRIVER 3281-000043-1A / Free Expedited Shipping
 159.00  
TEL PCB LDRCVR INTERLOCK 3208-000140-13 3281-000140-11 /Free Expedited Shipping
TEL PCB LDRCVR INTERLOCK 3208-000140-13 3281-000140-11 /Free Expedited Shipping
 179.00  
Amat Applied Materials 0140-37821 Rev 001 Wiring Harness Kit
Amat Applied Materials 0140-37821 Rev 001 Wiring Harness Kit
 150.00  
123-0303// Amat Applied 0050-20099 Manifold H20 Source11.3 [used]
123-0303// Amat Applied 0050-20099 Manifold H20 Source11.3 [used]
 250.00  
Ultratech Stepper C956-04 Socket Assembly Photomultiplier
Ultratech Stepper C956-04 Socket Assembly Photomultiplier
 199.00  
Lynair Lc-1-08a Aligning Road End Coupler
Lynair Lc-1-08a Aligning Road End Coupler
 16.99  
Daymarc 94-1949-01 Contactor Insert Set/Critical MR63677
Daymarc 94-1949-01 Contactor Insert Set/Critical MR63677
 45.00  
X13650736-12 - 0211161623 - REV J 1213 TE Board
X13650736-12 - 0211161623 - REV J 1213 TE Board
 69.99  
AE APEX MOTHERBOARD SEMI F-47 PCB 2305976-A 1315227 D / Free Expedited Shipping
AE APEX MOTHERBOARD SEMI F-47 PCB 2305976-A 1315227 D / Free Expedited Shipping
 289.00  
AUGUST TECHNOLOGY MULTI PORT VACUUM PN 702243 Rev B AN 702244 Rev B1
AUGUST TECHNOLOGY MULTI PORT VACUUM PN 702243 Rev B AN 702244 Rev B1
 329.00  
Thermco Tmx 9000 Ctc F Board  8220 117690-001
Thermco Tmx 9000 Ctc F Board 8220 117690-001
 899.99  
6461 / Temperture Controller Ecs / Ecs Technology
6461 / Temperture Controller Ecs / Ecs Technology
 200.00  
Heraeus 77303 Quartz Shutter TEL 1105-300428-12 13-1/4" OD
Heraeus 77303 Quartz Shutter TEL 1105-300428-12 13-1/4" OD
 172.98  
Asymtek assy 7204810 REV.D
Asymtek assy 7204810 REV.D
 249.00  
Alloy Products 10116 Chem Storage Tank Developer
Alloy Products 10116 Chem Storage Tank Developer
 250.00  
ORBOTECH ORBOTECH-INTR-024227 REV A  /  Free Expedited Shipping
ORBOTECH ORBOTECH-INTR-024227 REV A / Free Expedited Shipping
 299.00  
ATG PGL 90-20 Reducer
ATG PGL 90-20 Reducer
 313.50  
Bruker HPPR Cable Z01209
Top-Rated Plus Seller Bruker HPPR Cable Z01209
 399.99  
Bhk Inc. Analamp Uv Power Supply  Model 90-0002-01
Bhk Inc. Analamp Uv Power Supply  Model 90-0002-01
 150.00  
nsk rz10110fn514
nsk rz10110fn514
 500.00  
0040-30144 Rev .010 Carrier Detect Shaft Bellows  Me-2009-07-30-021
0040-30144 Rev .010 Carrier Detect Shaft Bellows  Me-2009-07-30-021
 179.99  
Novellus 16-126664-01 PVD Universal Stage Shield  15 Lb.; cleaned by Cleanpart
Top-Rated Plus Seller Novellus 16-126664-01 PVD Universal Stage Shield  15 Lb.; cleaned by Cleanpart
 559.99  
Festo Sfam-62-1000l-m-25a-m12
Festo Sfam-62-1000l-m-25a-m12
 600.00  
Ae Apex 2305797-a Ple1306690-01 A / C 1300964 E 1300870 G Measurement/fast Loop
Ae Apex 2305797-a Ple1306690-01 A / C 1300964 E 1300870 G Measurement/fast Loop
 220.00  
SCHUMACHER ASSY. 1730-3003 REV. H   /  Free Expedited Shipping
SCHUMACHER ASSY. 1730-3003 REV. H / Free Expedited Shipping
 199.00  
SCHUMACHER ASSY. 1730-3009 REV. H   /  Free Expedited Shipping
SCHUMACHER ASSY. 1730-3009 REV. H / Free Expedited Shipping
 199.00  
ASM 1003-401-01 Maint Cart INTFC PNL to Cart
ASM 1003-401-01 Maint Cart INTFC PNL to Cart
 185.00  
PN 7100-5425-03 AG Associates Heatpulse PCB
PN 7100-5425-03 AG Associates Heatpulse PCB
 2 800.00  
Thermonics 1B-137-1A Circuit Board ~ Sold w/ 60 Day Warranty
Top-Rated Plus Seller Thermonics 1B-137-1A Circuit Board ~ Sold w/ 60 Day Warranty
 200.00  
Marteq Process Solutions Matching Transformer Model 1069347.3
Marteq Process Solutions Matching Transformer Model 1069347.3
 150.00  
ASM COE 139 Curing System
ASM COE 139 Curing System
 12 795.00  
Murr Elektronik MCS-B5-110-240/24 Power Supply
Murr Elektronik MCS-B5-110-240/24 Power Supply
 79.96  
Fluoroware Es-1c-md-xx Signal Channel Control Module
Fluoroware Es-1c-md-xx Signal Channel Control Module
 25.95  
Precision Robotics C1 993 30302 Rev. C Slit Exel PCB Board CBR-1 Card PLC Module
Top-Rated Plus Seller Precision Robotics C1 993 30302 Rev. C Slit Exel PCB Board CBR-1 Card PLC Module
 208.99  
Omega Thermoelectric Stage Controller 020605 As-is
Omega Thermoelectric Stage Controller 020605 As-is
 50.00  
Perceptron 926-0324 / E-bridge
Perceptron 926-0324 / E-bridge
 110.00  
Advanced Energy ID 3501 Ion Drive Commonwealth Scientific ID 3501
Advanced Energy ID 3501 Ion Drive Commonwealth Scientific ID 3501
 2 500.00  
0100-11015 Power Driver Board  REV B  Sch. Ref. 0130-11015
0100-11015 Power Driver Board  REV B  Sch. Ref. 0130-11015
 119.99  
Matrix Integrated Systems Transport Controller P/n 1000-0003
Top-Rated Plus Seller Matrix Integrated Systems Transport Controller P/n 1000-0003
 325.00  260.00  
Wallac Interface Board DIC 1055 3760 C
Wallac Interface Board DIC 1055 3760 C
 224.01  
Q'son Precision BEI Cover Insert Assembly EM Rudder MOGCB71883-001 CB71883-001
Q'son Precision BEI Cover Insert Assembly EM Rudder MOGCB71883-001 CB71883-001
 49.99  
Stainless Flange  Model: 586-616  2286  6-3/4'' W x 2'' H
Top-Rated Plus Seller Stainless Flange  Model: 586-616  2286  6-3/4'' W x 2'' H
 35.99  
Balzers DI 420 BG 512 830 AT CARD PCB  Digital input  DI420 for PRO420 1064 828
Balzers DI 420 BG 512 830 AT CARD PCB  Digital input  DI420 for PRO420 1064 828
 279.00  181.35  
Proportion Air Inc Pa614 Mpv Sensor 50437
Proportion Air Inc Pa614 Mpv Sensor 50437
 200.00  
SCHUMACHER ASSY. 1730-3002 REV. K   /  Free Expedited Shipping
SCHUMACHER ASSY. 1730-3002 REV. K / Free Expedited Shipping
 179.00  
Kyowa 122100040 Instrumentation Amplifier WGA-100A Hitachi M-712E Working Spare
Kyowa 122100040 Instrumentation Amplifier WGA-100A Hitachi M-712E Working Spare
 309.12  
Novellus 15-00027-02 Guide Nozzle Adjuster  Used  Cleaned.
Novellus 15-00027-02 Guide Nozzle Adjuster  Used  Cleaned.
 3.50  
Asml 4022.436.3049
Asml 4022.436.3049
 2 250.00  
Novellus PVD Universal Stage Shield (16-126664-01)  15 Lb.; cleaned by Cleanpart
Top-Rated Plus Seller Novellus PVD Universal Stage Shield (16-126664-01)  15 Lb.; cleaned by Cleanpart
 639.99  
KULICKE SOFFE 00775-9120-000 MAIN LOGIC qty 5 boards
KULICKE SOFFE 00775-9120-000 MAIN LOGIC qty 5 boards
 450.00  
Moeller Faz/c10/1 278557
Moeller Faz/c10/1 278557
 15.00  
VAT 61234-KEGQ-AWX2/0006 valve controller
VAT 61234-KEGQ-AWX2/0006 valve controller
 500.00  
Applied Materials Spin Window 0120-91554 -- 0100-00970 -- Used
Applied Materials Spin Window 0120-91554 -- 0100-00970 -- Used
 245.00  
TEMP. VAC. CONTROL 9300-0052 (9300-5252 CS) Control Board
TEMP. VAC. CONTROL 9300-0052 (9300-5252 CS) Control Board
 211.34  
Honeywell GCP-33A   10A Circuit Protector 3 Pole New
Honeywell GCP-33A   10A Circuit Protector 3 Pole New
 55.00  
Mcgraw-edison  Power Systems Division  Distr. Arrest  Insulator 10 Kv.e7 Ceramic
Mcgraw-edison  Power Systems Division  Distr. Arrest  Insulator 10 Kv.e7 Ceramic
 75.00  
Unit Design ABC-2500 Aqueous Batch Cleaner 208y/120 VAC 3 Phase 50A
Unit Design ABC-2500 Aqueous Batch Cleaner 208y/120 VAC 3 Phase 50A
 5 000.00  
^^ Pfeiffer Balzers Tpu 170 Turbo Pump  (dw37)
^^ Pfeiffer Balzers Tpu 170 Turbo Pump (dw37)
 400.00  300.00  
Mqda043d1a / Ac Servo Drive / Panasonic
Mqda043d1a / Ac Servo Drive / Panasonic
 320.82  
Astech Rc-11 Automatic Matching Controller Power On Tested
Astech Rc-11 Automatic Matching Controller Power On Tested
 519.99  
410-0201// Smc Syj7343-5lz-02 Solenoid Valve [used/fast]
410-0201// Smc Syj7343-5lz-02 Solenoid Valve [used/fast]
 50.00  
Bt Imaging Luminescense Imaging System Lis-r1v6
Bt Imaging Luminescense Imaging System Lis-r1v6
 15 000.00  
Philips 40224365035 ASML Motion Card Used Working
Philips 40224365035 ASML Motion Card Used Working
 603.12  
MICROTEMP C1115A Series Process Controller  (#3602)
MICROTEMP C1115A Series Process Controller (#3602)
 500.00  375.00  
Applied Materials 0100-14001 Power Interface PCB 0130-14001  REV A
Applied Materials 0100-14001 Power Interface PCB 0130-14001  REV A
 99.99  
Ags Computer Controller I/o
Ags Computer Controller I/o
 599.99  461.99  
*PREOWNED* Yashibi YCB-014 Board + Warranty & Fast Shipping!
*PREOWNED* Yashibi YCB-014 Board + Warranty & Fast Shipping!
 55.00  
Dalsa Genie CR-GEN3-C6400 + OPTEM ZOOM + Cables
Dalsa Genie CR-GEN3-C6400 + OPTEM ZOOM + Cables
 399.90  
Candela 4 Channel Analog ASSY 0470 REV 3 Made in USA
Candela 4 Channel Analog ASSY 0470 REV 3 Made in USA
 411.98  
Pfeiffer/Balzers TCF 102 Vent Control
Pfeiffer/Balzers TCF 102 Vent Control
 255.00  
5861  KV Ltd. 4022-480-62481 Vacuum Sensor Assy.
5861 KV Ltd. 4022-480-62481 Vacuum Sensor Assy.
 1 600.00  
PARKER VIX500IE servo drive  USED
PARKER VIX500IE servo drive  USED
 100.00  
CA345-04805 Bearing Assembly Front  CA345-04805 / 160 F / HP
CA345-04805 Bearing Assembly Front  CA345-04805 / 160 F / HP
 520.00  
Square D Contactor DLS31.22CU DLS31 8502 24 Volt
Square D Contactor DLS31.22CU DLS31 8502 24 Volt
 95.99  
SMC ROTARY PNWEUMATIC ACTUATOR ECDRA1BW63-180 MAX PRESS 1.0 MPa 145 PSI 63MM BOR
SMC ROTARY PNWEUMATIC ACTUATOR ECDRA1BW63-180 MAX PRESS 1.0 MPa 145 PSI 63MM BOR
 130.00  
Edwards A55655321  A55655519 Fuse Thermal 185 (lot Of 2)
Edwards A55655321  A55655519 Fuse Thermal 185 (lot Of 2)
 10.00  
Control Driver 6684-0077 (6684-7701C) S14H305
Control Driver 6684-0077 (6684-7701C) S14H305
 241.11  
Tencor FAB 098140 FAB098140 REV A  ASSY 113361 REV C2 Board
Tencor FAB 098140 FAB098140 REV A ASSY 113361 REV C2 Board
 239.99  
NEW w/ Mounting Hardware SMC Cylinder CDQSL16-78. 5-DCJ1528J
NEW w/ Mounting Hardware SMC Cylinder CDQSL16-78. 5-DCJ1528J
 99.99  
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
 52.95  
Desco 60501 Chargebuster High Output Ionizer 120vac/2a Tested Sn: 605011413003
Desco 60501 Chargebuster High Output Ionizer 120vac/2a Tested Sn: 605011413003
 820.00  
0100-11015 Power Driver Board  Sch. Ref. 0130-11015
0100-11015 Power Driver Board  Sch. Ref. 0130-11015
 119.99  
Sopra PM2 386 NIR Photomultiplier Module with 14 day warranty
Sopra PM2 386 NIR Photomultiplier Module with 14 day warranty
 950.00  
Panasonic MSDA021A2A13 AC SERVO DRIVER 115V 6.0A  USED
Panasonic MSDA021A2A13 AC SERVO DRIVER 115V 6.0A  USED
 300.00  
Amat 0100-11000 Pcb Assembly analog Input   Used
Amat 0100-11000 Pcb Assembly analog Input   Used
 550.00  
Amat 0020-78984 Plate adapter Flex  Used
Amat 0020-78984 Plate adapter Flex  Used
 200.00  
Future Technology 1043-128-01 Cable Assembly A11 Gas Detect Signal PWR to XMTR
Future Technology 1043-128-01 Cable Assembly A11 Gas Detect Signal PWR to XMTR
 75.00  
KOSMA 110-4E1-F11/ Mod 110M 6F-F11 445
KOSMA 110-4E1-F11/ Mod 110M 6F-F11 445
 120.00  
101-0301// Cti 8052001 8001 Controller Asis
101-0301// Cti 8052001 8001 Controller Asis
 200.00  
Nikon 4S008-115-? Processor Board PCB ALGAF-P/D-X4+ Used Working
Nikon 4S008-115-? Processor Board PCB ALGAF-P/D-X4+ Used Working
 808.09  
137-0403// Amat Applied 0050-31493 Weldment 90 Deg Eblow Foreline [used]
137-0403// Amat Applied 0050-31493 Weldment 90 Deg Eblow Foreline [used]
 60.00  
Mks 9399-1308 Rev. A Heater Jacket
Mks 9399-1308 Rev. A Heater Jacket
 190.00  
SMC CDQ2WA32-15-DA Cylinder Short Stroke Lot of 2
SMC CDQ2WA32-15-DA Cylinder Short Stroke Lot of 2
 175.00  
Zeiss LM100 large panel inspection station
Zeiss LM100 large panel inspection station
 32 500.00  
Pfeiffer/Balzers TCV 103/PM Valve Control
Pfeiffer/Balzers TCV 103/PM Valve Control
 210.00  
Applied Materials 678613 DI Board Source  VersaController  7801-D-1014 REV E
Applied Materials 678613 DI Board Source  VersaController  7801-D-1014 REV E
 89.99  
NGK CB-573 Valve Assembly
NGK CB-573 Valve Assembly
 999.95  
Regal Flow Sensor Fls206 Fs-10 A73301
Regal Flow Sensor Fls206 Fs-10 A73301
 85.07  
Scp 3107a Process Timer Controller 777
Scp 3107a Process Timer Controller 777
 50.00  12.50  
Adept 30356-10358 PCI Front Panel with Keys
Top-Rated Plus Seller Adept 30356-10358 PCI Front Panel with Keys
 179.99  
HP INDIGO CA254-02703 460049-001 rev e  SCR MODULE
HP INDIGO CA254-02703 460049-001 rev e SCR MODULE
 200.00  
Wallac Motor Control Board HPS 1055 3870 A
Wallac Motor Control Board HPS 1055 3870 A
 211.34  
Mistubishi Nf30-sw No Fuse Circuit Breaker 15amp
Mistubishi Nf30-sw No Fuse Circuit Breaker 15amp
 55.00  
Applied Materials 0150-76198 Cable SYSTEM VIDEO 25
Applied Materials 0150-76198 Cable SYSTEM VIDEO 25
 250.00  
Amat 1270-00008 Sw Temp Rtd-in Spdt-out Field Config   Used
Amat 1270-00008 Sw Temp Rtd-in Spdt-out Field Config   Used
 200.00  
Crouzet TXR1 Time Delay Relay  102354
Crouzet TXR1 Time Delay Relay  102354
 50.00  
Tegal 99-126-005 IGC-5 Gas Control PCB Rev. N
Tegal 99-126-005 IGC-5 Gas Control PCB Rev. N
 90.00  
New Panasonic Shaft X004103 w/ warranty Free Shipping
New Panasonic Shaft X004103 w/ warranty Free Shipping
 99.99  
Dynatronix 138-0203-02 Model B DP Timing board
Dynatronix 138-0203-02 Model B DP Timing board
 599.00  
MKS 99F0124A&B Adapter HTR2.0 FBV/SCV A And B Halves 99F0124A 99F0124B
MKS 99F0124A&B Adapter HTR2.0 FBV/SCV A And B Halves 99F0124A 99F0124B
 86.99  
SVG 99-80320-01 Microcontroller Board  6805  Cirpro-1 25-93
SVG 99-80320-01 Microcontroller Board  6805  Cirpro-1 25-93
 89.99  
Ebmpapst VDC-3-54.32 24VDC 3300 U/min motor
Ebmpapst VDC-3-54.32 24VDC 3300 U/min motor
 250.00  
Parker 945AOPLPNCHF222VESP3.50 54012962 Valve
Parker 945AOPLPNCHF222VESP3.50 54012962 Valve
 54.99  
Tylan Fc-280-sav Mass Flow Controller 5 Slpm N2
Tylan Fc-280-sav Mass Flow Controller 5 Slpm N2
 140.00  126.00  
Stearns 2-12-1807-00-AEH Drive Assembly MRC A126257 Eclipse Star Used Working
Stearns 2-12-1807-00-AEH Drive Assembly MRC A126257 Eclipse Star Used Working
 704.12  
***new*** Matrix 7911/dsc Rev D Bus Card
***new*** Matrix 7911/dsc Rev D Bus Card
 99.95  
USHIO 9706092/U00 / Free Expedited Shipping
USHIO 9706092/U00 / Free Expedited Shipping
 359.00  
117740-001 / System Board / Thermco
117740-001 / System Board / Thermco
 983.99  
Used Tokin Filter Gf-205u
Top-Rated Plus Seller Used Tokin Filter Gf-205u
 75.00  
Mykrolis Qcczatxk1k Quickchange Chemlock Atx Filter 10" 0.05um
Mykrolis Qcczatxk1k Quickchange Chemlock Atx Filter 10" 0.05um
 1 200.00  
2705) [used] Disco Fbpcb-0310 Pcb(safety+pc)
2705) [used] Disco Fbpcb-0310 Pcb(safety+pc)
 550.01  
Blue Cord Co. PWM100F Digital FFU Controller PWM-100F
Top-Rated Plus Seller Blue Cord Co. PWM100F Digital FFU Controller PWM-100F
 74.99  
141-0502// Amat Applied 0226-42789 Cable  Extension Flow/temp 1/2 Used
141-0502// Amat Applied 0226-42789 Cable  Extension Flow/temp 1/2 Used
 40.00  
176-0104// Amat Applied 0050-37710 Applied Matrials Components [asis]
176-0104// Amat Applied 0050-37710 Applied Matrials Components [asis]
 200.00  
176-0103// Amat Applied 0050-37710 Applied Matrials Components [asis]
176-0103// Amat Applied 0050-37710 Applied Matrials Components [asis]
 200.00  
343-0301// Amat Applied 0020-09602 Manifold Input [used]
343-0301// Amat Applied 0020-09602 Manifold Input [used]
 110.00  
FN356-36-24 Filter  FN356-36-24 / Power Line Filter / 400~250 VAC / 50~60Hz / Sc
FN356-36-24 Filter  FN356-36-24 / Power Line Filter / 400~250 VAC / 50~60Hz / Sc
 150.00  
Canon BH8-1912-01 BG4-6619 PCB
Canon BH8-1912-01 BG4-6619 PCB
 249.00  
Yaskawa Electric  Resistor Unit Model Jusp-ra19 #61D24Pr5
Yaskawa Electric Resistor Unit Model Jusp-ra19 #61D24Pr5
 299.99  
Festo Dzf-18-90-p-a 164012 Cylinder
Festo Dzf-18-90-p-a 164012 Cylinder
 250.00  
Smc Vxv3132l-01-5dz-b-q Soleniod Valve  Nos
Top-Rated Plus Seller Smc Vxv3132l-01-5dz-b-q Soleniod Valve  Nos
 149.77  119.82  
VT Board M-W9205B M-W92058 0671158
VT Board M-W9205B M-W92058 0671158
 175.00  
NOS PSU Power Supply Board BS-3405 3
NOS PSU Power Supply Board BS-3405 3
 175.00  
Semitest 220-0208-01 LEI 300126
Semitest 220-0208-01 LEI 300126
 329.00  
Advantech PCA-6186 REV.B1 COMPUTER BOARD
Advantech PCA-6186 REV.B1 COMPUTER BOARD
 499.00  
Applied Materials 678613 DI Board Source  VersaController  7801-D-1014 REV E1
Applied Materials 678613 DI Board Source  VersaController  7801-D-1014 REV E1
 89.99  
177-0202// Whitey Ss-8rf8 Valve [asis]
177-0202// Whitey Ss-8rf8 Valve [asis]
 40.00  
Np Nprhc-504l New Power Plasma  Used
Np Nprhc-504l New Power Plasma  Used
 150.00  
Nikon STG81 4S007-760-2 board
Nikon STG81 4S007-760-2 board
 279.00  
Okuma Opus 5000ii SPCI Board E4809-045-110-c
Okuma Opus 5000ii SPCI Board E4809-045-110-c
 400.00  
Bruker Oxford Spectrospin BSMS-HR20 Keypad
Bruker Oxford Spectrospin BSMS-HR20 Keypad
 300.00  
810-001489-003 Board PCBA dual valve local board
810-001489-003 Board PCBA dual valve local board
 220.00  
419-0202// Cti 8039645g005 Jgauge [used]
419-0202// Cti 8039645g005 Jgauge [used]
 120.00  
Amat 0020-78432 Pulley
Amat 0020-78432 Pulley
 300.00  
Keyence One Touch Calibration Separate Amplifer Photoelectric Sensor #2000J64
Keyence One Touch Calibration Separate Amplifer Photoelectric Sensor #2000J64
 34.99  
Horiba Stec SEC-Z313M Option MR. MG-05 GAS N2 Warranty
Horiba Stec SEC-Z313M Option MR. MG-05 GAS N2 Warranty
 149.00  
324-0201// Amat Applied 0040-31968 Bracket Mount Prsp H.v. Connector New
324-0201// Amat Applied 0040-31968 Bracket Mount Prsp H.v. Connector New
 30.00  
Thermco Tmx 9000 Gas Control Board 143400-001
Thermco Tmx 9000 Gas Control Board 143400-001
 799.99  
Shinko Electric M223D Compact Servo Drive Board PCB Working Spare
Shinko Electric M223D Compact Servo Drive Board PCB Working Spare
 307.19  
Seren IPS 4011080000 Board  400680000  140041000
Seren IPS 4011080000 Board  400680000  140041000
 291.59  116.64  
RGA Tube Sensor Head SM-M-20164 Used
RGA Tube Sensor Head SM-M-20164 Used
 539.97  
Cp-114s / M09-4167-chg / Pcbcp114
Top-Rated Plus Seller Cp-114s / M09-4167-chg / Pcbcp114
 49.95  
NEW SEM Gold Au Sputtering Target: 99.99% Pure  57mm D x 0.1mm Thick
NEW SEM Gold Au Sputtering Target: 99.99% Pure  57mm D x 0.1mm Thick
 559.00  
NEW MKS TECHNOLOGIES 492015-1008 HEATER JACKET- 8” Long 1.5” Diameter 120 VAC
NEW MKS TECHNOLOGIES 492015-1008 HEATER JACKET- 8” Long 1.5” Diameter 120 VAC
 265.00  
Drytek / Lam Interlock Protection Circuit Board. P/N 2800937 rev 2
Top-Rated Plus Seller Drytek / Lam Interlock Protection Circuit Board. P/N 2800937 rev 2
 175.00  
HP  indigo scu ca256-00186 ca254-02702 SCU MODULE
HP indigo scu ca256-00186 ca254-02702 SCU MODULE
 300.00  
Schilfknecht Elektronik Teaching Pendant 4372 07042/841061 Version RS232 Used
Schilfknecht Elektronik Teaching Pendant 4372 07042/841061 Version RS232 Used
 69.00  
8096080 / Cross Fitting / Cti
8096080 / Cross Fitting / Cti
 100.00  
applied Materials DIP294-2 DeviceNet I/O Block 9090-00273
applied Materials DIP294-2 DeviceNet I/O Block 9090-00273
 399.00  
Applied Materials 0020-30347 Cylinder  Ext. Anode
Applied Materials 0020-30347 Cylinder  Ext. Anode
 325.00  
130-0401// Amat Applied 0100-09108 Board Used
130-0401// Amat Applied 0100-09108 Board Used
 150.00  
999-9999// Amat Applied 0020-24263 (delivery 21 Days) Blade 6" [2nd Source]
999-9999// Amat Applied 0020-24263 (delivery 21 Days) Blade 6" [2nd Source]
 800.00  
Tektronix P6209 Active Probe W/ Power Divider & Accessories
Tektronix P6209 Active Probe W/ Power Divider & Accessories
 500.00  
AMAT Applied Materials SERIAL I/O PCB  P/N 03-72524-00   NOS
Top-Rated Plus Seller AMAT Applied Materials SERIAL I/O PCB  P/N 03-72524-00   NOS
 300.00  240.00  
3 Brooks 5896B11A Readout Mass Flow Controller  MFC Assy  421174
3 Brooks 5896B11A Readout Mass Flow Controller  MFC Assy  421174
 850.00  
Best Me500va
Best Me500va
 899.00  
Setra Model 227 Pressure Transducer 227G100PCE5ZZZZFT6
Setra Model 227 Pressure Transducer 227G100PCE5ZZZZFT6
 70.00  
Tokyo Electron TA3003-800217-01 Actuator Assy  FRU  15-801191 Rev. 2
Tokyo Electron TA3003-800217-01 Actuator Assy  FRU 15-801191 Rev. 2
 225.00  
TDK TAS-RIN11 Backplane Interface Board PCB Rev. 2.20 TAS300 Load Port Used
TDK TAS-RIN11 Backplane Interface Board PCB Rev. 2.20 TAS300 Load Port Used
 155.17  
Dynax DNX5171 Processor Board PCB F104-CPU Working Spare
Dynax DNX5171 Processor Board PCB F104-CPU Working Spare
 212.19  
203-0026 / Vpm-3114 Test Level 2 Pcb Card / Cognex
203-0026 / Vpm-3114 Test Level 2 Pcb Card / Cognex
 2 953.66  
Eaton Cutler Hammer 7asht-401 Ratio 4005acurrent Transformer Lot 3
Eaton Cutler Hammer 7asht-401 Ratio 4005acurrent Transformer Lot 3
 30.07  
CTTC AE1306 Rev. B LED Light Ring
Top-Rated Plus Seller CTTC AE1306 Rev. B LED Light Ring
 67.98  
Kollmorgen Cti-187-2 Brushless Motor Danaher Motion
Kollmorgen Cti-187-2 Brushless Motor Danaher Motion
 950.00  
A-83439-2 / Low Level Interface / Zmisc
A-83439-2 / Low Level Interface / Zmisc
 500.00  
Advantest BGD-024401 for M6541AD
Advantest BGD-024401 for M6541AD
 199.00  
Cosel STMGFS PCB Mount 15W Isolated DC-DC Converter STMGFS154805
Top-Rated Plus Seller Cosel STMGFS PCB Mount 15W Isolated DC-DC Converter STMGFS154805
 63.25  
Thermco Process Controller Tmx9000 117751-021
Thermco Process Controller Tmx9000 117751-021
 3 499.99  
Ms14-2 / Current Integrator / Genus
Ms14-2 / Current Integrator / Genus
 5 400.82  
Lot of 11 NEW F 74167PC 8225 Indonesia Integrated Circuit Semiconductor
Top-Rated Plus Seller Lot of 11 NEW F 74167PC 8225 Indonesia Integrated Circuit Semiconductor
 25.99  
Model 877-500-00 Rev. D ET Bin Number Controller
Model 877-500-00 Rev. D ET Bin Number Controller
 69.99  
Automatic Paste Machine  Speedline Model 500 Serial# 500541
Automatic Paste Machine  Speedline Model 500 Serial# 500541
 2 915.00  
Gespac GESMFI-1 PCB Board  8835
Gespac GESMFI-1 PCB Board  8835
 129.99  
HP Agilent E5515-60124-3837-01-200023-21521 PCB Made in USA
HP Agilent E5515-60124-3837-01-200023-21521 PCB Made in USA
 170.64  
DNS HMDS Auto Supply Controller  CECC-101
DNS HMDS Auto Supply Controller  CECC-101
 650.00  
Dynax Corp. F104-io/1 Dnx5191 F104-cpu Dnx5171 Pcb Cpu Assembly
Dynax Corp. F104-io/1 Dnx5191 F104-cpu Dnx5171 Pcb Cpu Assembly
 299.95  
Smc Cg1g-v40-500 Pneumatic Cylinder
Smc Cg1g-v40-500 Pneumatic Cylinder
 60.95  
Daifuku 7a01000ha2 Rm/tfe/cov  Unit Controller
Daifuku 7a01000ha2 Rm/tfe/cov Unit Controller
 120.95  
Kyowa WGA-100A Instrumentation Amplifier Lot of 2 Used
Kyowa WGA-100A Instrumentation Amplifier Lot of 2 Used
 402.14  
58900086 / Wafertray For 6"  For Liner Plate And Hotliner Replacement / Mattson
58900086 / Wafertray For 6"  For Liner Plate And Hotliner Replacement / Mattson
 5 789.70  
Computer Dynamics HPIO Board REV B Made in USA
Computer Dynamics HPIO Board REV B Made in USA
 170.64  
Leybold 23F20073 8" target and backing plate. NiV material
Leybold 23F20073 8" target and backing plate. NiV material
 1 400.00  
Brooks Teach Pendant With Cable / Used
Brooks Teach Pendant With Cable / Used
 999.99  
ESI 9300 Z VAC INTERLOCK BOARD 133190 REV. A  /  Free Shipping
ESI 9300 Z VAC INTERLOCK BOARD 133190 REV. A / Free Shipping
 119.00  
Orbotech Inc. Yellow Glass Filter  Approx. 2" x 8" w/ Case
Orbotech Inc. Yellow Glass Filter  Approx. 2" x 8" w/ Case
 129.00  
Rheodyne O3-905260-50 Flow Controller 03-905260-50
Top-Rated Plus Seller Rheodyne O3-905260-50 Flow Controller 03-905260-50
 168.00  
Applied Materals AMAT P1241 Gate Drive Assy 36-0574 Rev 1
Applied Materals AMAT P1241 Gate Drive Assy 36-0574 Rev 1
 258.68  
Edwards QDP Series Exhaust Module
Top-Rated Plus Seller Edwards QDP Series Exhaust Module
 159.00  
Wallac DIA 1055 2965E Board
Wallac DIA 1055 2965E Board
 160.97  
Gespac GESMEM-12 PCB Board
Gespac GESMEM-12 PCB Board
 129.99  
CONVEX 2PH MICROSTEP DRIVER CSMD2-U440 Motor Drive Series
CONVEX 2PH MICROSTEP DRIVER CSMD2-U440 Motor Drive Series
 100.00  
4022.489.72071 Seal  DN40
4022.489.72071 Seal  DN40
 520.00  
HAL-01-385 Board
HAL-01-385 Board
 520.00  
FEI Company EVA-DA-2  with 14 day warranty
FEI Company EVA-DA-2 with 14 day warranty
 1 900.00  
PV-3-1134 Valve  Pneumatic
PV-3-1134 Valve  Pneumatic
 86.00  
Applied Materials 678610 DO PC Board  SCHEM # 7801-D-1010  REV C  ASSY: 678611
Applied Materials 678610 DO PC Board  SCHEM # 7801-D-1010  REV C  ASSY: 678611
 89.99  
Rite Track 99-71265-01 ATS Drive Board 997126501 New Unopened
Rite Track 99-71265-01 ATS Drive Board 997126501 New Unopened
 325.50  
Powerwave 7070.30 MCU Master Control Unit
Top-Rated Plus Seller Powerwave 7070.30 MCU Master Control Unit
 49.00  
ASML Used 4022.472.20913 4022.472.20884 4022.470.23341 SEM-I-559=9A39
ASML Used 4022.472.20913 4022.472.20884 4022.470.23341 SEM-I-559=9A39
 1 999.90  
Cosel 252W PCB Mount Isolated DC-DC Converter SNDHS250B28
Top-Rated Plus Seller Cosel 252W PCB Mount Isolated DC-DC Converter SNDHS250B28
 195.24  
Mks 325 103250028 Modecell
Mks 325 103250028 Modecell
 150.07  
Base for Motorized Mechanical Precision Stage 7-1/2 Rise Black Aluminum.
Base for Motorized Mechanical Precision Stage 7-1/2 Rise Black Aluminum.
 39.95  
West Coast Quartz 1005-08-143 ESC SCREW COVER Applied Materials 2300 QTZ
West Coast Quartz 1005-08-143 ESC SCREW COVER Applied Materials 2300 QTZ
 24.99  
Tylan Model Fc-280 Sakz Mass Flow Controller  500 Sccm N2  Vcr  Lot Of 2
Top-Rated Plus Seller Tylan Model Fc-280 Sakz Mass Flow Controller  500 Sccm N2  Vcr  Lot Of 2
 125.00  
Contemporary Controls SBX20-CXS Network Interface Module
Contemporary Controls SBX20-CXS Network Interface Module
 44.99  
NEW Parker Hannifin Compumotor Series CP 48660104 PCB Drive 07090600529
Top-Rated Plus Seller NEW Parker Hannifin Compumotor Series CP 48660104 PCB Drive 07090600529
 65.29  
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev. 1 Used Working
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev. 1 Used Working
 253.19  
TRUTZSCHLER FU 2 9494-70.536.501 Inverter
TRUTZSCHLER FU 2 9494-70.536.501 Inverter
 2 000.00  
Shimadzu Tmp Power Source Ei-281
Shimadzu Tmp Power Source Ei-281
 899.99  
Scp 3150 Process Controller 777
Scp 3150 Process Controller 777
 200.00  50.00  
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box  button missing
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box  button missing
 64.99  
Blue power TE1N-700-GR TD-13240 Power Transformer - Free US Shipping
Blue power TE1N-700-GR TD-13240 Power Transformer - Free US Shipping
 299.99  
applied Materials Cpu Board Versacontroller REV C
applied Materials Cpu Board Versacontroller REV C
 49.99  
Applied Materials 679633 Power Display Board  SCH REF 7801-D-2237  REV C1
Applied Materials 679633 Power Display Board  SCH REF 7801-D-2237  REV C1
 39.99  
Amat 0040-77090 Manifold
Amat 0040-77090 Manifold
 450.00  
Tokyo Electron Teb004-23/gohub
Tokyo Electron Teb004-23/gohub
 199.95  
F-17251A230B Fan  AC230v / 0.13A
F-17251A230B Fan  AC230v / 0.13A
 520.00  
SVG Silicon Valley Group 851-8233-004 Processor PCB Card Rev. F 90S Used Working
SVG Silicon Valley Group 851-8233-004 Processor PCB Card Rev. F 90S Used Working
 310.18  
RECIF Technologies INTAH0540A Interface Board PCB Nikon NSR System Used Working
RECIF Technologies INTAH0540A Interface Board PCB Nikon NSR System Used Working
 308.18  
Melec SP-378-7 EAUA-023600 New
Melec SP-378-7 EAUA-023600 New
 550.00  
Cambridge Absolute Filter 2T-39516093-Z285-0
Cambridge Absolute Filter 2T-39516093-Z285-0
 185.00  
Nikon 4S007-836 MAIN-IF board
Nikon 4S007-836 MAIN-IF board
 929.00  
Eauf-965100 / Panel Unit operation (dfd-651) / Disco
Eauf-965100 / Panel Unit operation (dfd-651) / Disco
 346.22  
SVG Silicon Valley Group 858-8021-004 Backplane Interface Board PCB 90S DUV Used
SVG Silicon Valley Group 858-8021-004 Backplane Interface Board PCB 90S DUV Used
 309.18  
Jst Dt921gm Monitor Panel
Jst Dt921gm Monitor Panel
 119.95  
Vicor 20-130065 4kW Mother Board PCB Backplane 24-130065 Used Working
Vicor 20-130065 4kW Mother Board PCB Backplane 24-130065 Used Working
 208.19  
HP  indigo scu ca256-00187 ca254-02703 SCU MODULE
HP indigo scu ca256-00187 ca254-02703 SCU MODULE
 300.00  
Vexta PWS PCB Converter VMTBH3500 A VST/H3500A
Vexta PWS PCB Converter VMTBH3500 A VST/H3500A
 100.00  
Muratec HASSYC815700 Interface Board PCB Used Working
Muratec HASSYC815700 Interface Board PCB Used Working
 312.18  
Lot of 14 NEW F7414PC 8044 SemiConductors
Top-Rated Plus Seller Lot of 14 NEW F7414PC 8044 SemiConductors
 32.99  
*PREOWNED* Yashibi IP-248A IC Switch Control PCB Board 89.6 + Warranty!
*PREOWNED* Yashibi IP-248A IC Switch Control PCB Board 89.6 + Warranty!
 125.00  
*PREOWNED* Hitachi MBN27-2 Backplane Board PCB M-511E + Warranty!
*PREOWNED* Hitachi MBN27-2 Backplane Board PCB M-511E + Warranty!
 175.00  
Wallac Microcomputer DCD 1055 6200 A
Wallac Microcomputer DCD 1055 6200 A
 211.34  
NATIONAL INSTRUMENTS NI PXI-2172 MediaSPX-i Digital Video Analyzer module
NATIONAL INSTRUMENTS NI PXI-2172 MediaSPX-i Digital Video Analyzer module
 699.90  
Perkin-Elmer 851-8552-004 Processor PCB Card Rev. F SVG ASML 90S Used Working
Perkin-Elmer 851-8552-004 Processor PCB Card Rev. F SVG ASML 90S Used Working
 310.18  
TRUMPF NNB 2246442  Elektr Ausr NS_EMO_Auftrennung_HPDL SEM-I-352
TRUMPF NNB 2246442  Elektr Ausr NS_EMO_Auftrennung_HPDL SEM-I-352
 499.90  
Siemens Di-32xdc 24v 6es7 321-1bl00-0aa0
Siemens Di-32xdc 24v 6es7 321-1bl00-0aa0
 85.00  
125-0402// Amat Applied 0021-09004 Shield  Protective  Mxp  Sgd Used
125-0402// Amat Applied 0021-09004 Shield  Protective  Mxp  Sgd Used
 200.00  
Kurt J Lesker Molybdenum Target   Mo  80% / 3.00 Dia. x .125 Thick  Part# EJTMOX
Kurt J Lesker Molybdenum Target  Mo  80% / 3.00 Dia. x .125 Thick  Part# EJTMOX
 103.50  93.15  
Micro Electronics DTE-M36 Crosstalk Equipment
Micro Electronics DTE-M36 Crosstalk Equipment
 179.99  
USHIO SYS286CONT NO.930928 9705030/U02 / Free Expedited Shipping
USHIO SYS286CONT NO.930928 9705030/U02 / Free Expedited Shipping
 399.00  
ASM 232720091.11 REV. B 632720091.00 REV. C / Free Expedited Shipping
ASM 232720091.11 REV. B 632720091.00 REV. C / Free Expedited Shipping
 299.00  
Genuine MKS Loadlock Digital Vacuum Transducer 901P-12030-0104
Top-Rated Plus Seller Genuine MKS Loadlock Digital Vacuum Transducer 901P-12030-0104
 275.95  
Waters 991 Photodiode Array Detector
Waters 991 Photodiode Array Detector
 360.14  
ASM 232720100.11 REV. B 632720100.00 REV. C / Free Expedited Shipping
ASM 232720100.11 REV. B 632720100.00 REV. C / Free Expedited Shipping
 289.00  
AMAT Applied Materials Sync Detect PWB  0100-00132
Top-Rated Plus Seller AMAT Applied Materials Sync Detect PWB  0100-00132
 185.00  148.00  
T230-24-2 Controller  T230-24-2 / 230V AC 50Hz / 24V DC / 3A / Aminach
T230-24-2 Controller  T230-24-2 / 230V AC 50Hz / 24V DC / 3A / Aminach
 520.00  
Gespac GESCI0-1 PCB Board
Gespac GESCI0-1 PCB Board
 89.99  
Trc Ground Fault Circuit Interrupters 24500-003
Trc Ground Fault Circuit Interrupters 24500-003
 200.00  
344-0503// Amat Applied 3480-01212 Applied Matrials Components New
344-0503// Amat Applied 3480-01212 Applied Matrials Components New
 60.00  
RECIF Technologies INTBH0222 Interface Board PCB Nikon NSR System Used Working
RECIF Technologies INTBH0222 Interface Board PCB Nikon NSR System Used Working
 308.18  
Kickstart Develomeny Board Str912-sk Iar Systems
Kickstart Develomeny Board Str912-sk Iar Systems
 249.00  
AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working
AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working
 403.19  
WEC Technology 932CLA300.005 Type A 300mm Carbon Leaf inserts FREE SHIPPING
WEC Technology 932CLA300.005 Type A 300mm Carbon Leaf inserts FREE SHIPPING
 84.99  72.24  
Thermco BC 1058 PWB Board
Thermco BC 1058 PWB Board
 149.99  
Generic Electronic Shutter Controller 110 Volt AC
Generic Electronic Shutter Controller 110 Volt AC
 63.00  28.88  
KLA MCB PCB for KLA-6020 601-00317 710-570821-00
KLA MCB PCB for KLA-6020 601-00317 710-570821-00
 340.00  
Kurt J Lesker Aluminum wire 1199 (99.95%) 0.065" diameter -50 feet
Kurt J Lesker Aluminum wire 1199 (99.95%) 0.065" diameter -50 feet
 70.00  
4702 Applied Materials 8300L (0010-00172) Pre-Reactor Chamber
4702 Applied Materials 8300L (0010-00172) Pre-Reactor Chamber
 7 500.00  
ASML 4022.668 66441 Cable
ASML 4022.668 66441 Cable
 250.00  
Semicaps scan card version 3.00g
Semicaps scan card version 3.00g
 409.00  
Applied Komatsu -  0100-66016 -  Expanded Communications Distribution Board
Applied Komatsu - 0100-66016 - Expanded Communications Distribution Board
 250.00  
Nemic-Lambda NNS15-5 Linear Power Supply Tested!!!!
Nemic-Lambda NNS15-5 Linear Power Supply Tested!!!!
 49.99  
Yamada Ad-50st Pulsation Damper 100psi Max
Yamada Ad-50st Pulsation Damper 100psi Max
 499.95  
4022.841.72781 Connection tubes ( set of 3 ) AT-T
4022.841.72781 Connection tubes ( set of 3 ) AT-T
 520.00  
Nikon 4S013-013 Interface Board PCB NSR System Used Working
Nikon 4S013-013 Interface Board PCB NSR System Used Working
 302.19  
Tokyo Keiso Sfc-m Flow Meter Signal Converter
Tokyo Keiso Sfc-m Flow Meter Signal Converter
 299.95  
Canon Bh2-0202
Canon Bh2-0202
 250.00  
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev. A 90S Used Working
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev. A 90S Used Working
 410.18  
HP  indigo  CA254-00406 CA256-00137 DCI MODULE
HP indigo CA254-00406 CA256-00137 DCI MODULE
 80.00  
Watlow Anafaze TMB Board 31060-00 REV C D/C 0651 0210-0310-6100
Watlow Anafaze TMB Board 31060-00 REV C D/C 0651 0210-0310-6100
 299.99  
NILSTAT 5024(e) CONTROLLER
NILSTAT 5024(e) CONTROLLER
 99.95  
Riken Keiki Rkp-62069 Gas Monitor Lot Of 2
Riken Keiki Rkp-62069 Gas Monitor Lot Of 2
 100.07  
Jst Dt921e Switch Panel
Jst Dt921e Switch Panel
 99.95  
Desco 60501 Chargebuster High Output Ionizer 120vac/2a Jr. Ionizer Tested
Desco 60501 Chargebuster High Output Ionizer 120vac/2a Jr. Ionizer Tested
 787.00  
HT-3009 Precision Humidity Meter / HT-3009 / Main unit only / Lutron
HT-3009 Precision Humidity Meter / HT-3009 / Main unit only / Lutron
 250.00  
Biochrom Libra S4 80-5000-00 Visible Spectrophotometer
Biochrom Libra S4 80-5000-00 Visible Spectrophotometer
 1 500.00  
Matrix Integrated Systems Model 105 Operator Interface Module
Top-Rated Plus Seller Matrix Integrated Systems Model 105 Operator Interface Module
 925.00  740.00  
Meiden Piboc-i Model 950
Meiden Piboc-i Model 950
 2 500.00  
Mohlh506-a / Plate waterproof (dfd-651) / Disco
Mohlh506-a / Plate waterproof (dfd-651) / Disco
 239.52  
124-0301// Amat Applied 0020-28867 Disk 8" Advanced 101 Shutter Used
124-0301// Amat Applied 0020-28867 Disk 8" Advanced 101 Shutter Used
 600.00  
Cosel AOU-02A Isolated DC/DC Converter Board PCB AOU-03A Used Working
Cosel AOU-02A Isolated DC/DC Converter Board PCB AOU-03A Used Working
 310.18  
Shinko Electric MA26501 Interface Board PCB Working Surplus
Shinko Electric MA26501 Interface Board PCB Working Surplus
 151.19  
4256  M and W Systems RPCX28A-RNB-3.0HE Recirculating Cooling System
4256 M and W Systems RPCX28A-RNB-3.0HE Recirculating Cooling System
 1 800.00  
NOS QualiTau Oven Component Module
NOS QualiTau Oven Component Module
 199.99  
Wonik Quartz Injector  1105-200269-14 Rev A 50"
Wonik Quartz Injector 1105-200269-14 Rev A 50"
 600.00  
SHOWA 41884-3 1 ph TRANSFORMER 250VA #01A46PR2
SHOWA 41884-3 1 ph TRANSFORMER 250VA #01A46PR2
 44.99  
Perkin-Elmer 851-9993 Processor PCB Card SVG 879-8079-002 90S Used Working
Perkin-Elmer 851-9993 Processor PCB Card SVG 879-8079-002 90S Used Working
 310.18  
Cosel AOU-01B Isolated DC/DC Converter Board PCB Used Working
Cosel AOU-01B Isolated DC/DC Converter Board PCB Used Working
 310.18  
Pearl Kogyo Rf Generator/match Rp-50-2m
Pearl Kogyo Rf Generator/match Rp-50-2m
 2 999.99  
Unit Instruments UFC-1660  Mass Flow Controller  MFC  O2  10 SCCM  452095
Unit Instruments UFC-1660  Mass Flow Controller  MFC  O2  10 SCCM  452095
 295.00  
Mpu818-n035.0-10.96 / Diaphragm Vacuum Pump / Matheson
Mpu818-n035.0-10.96 / Diaphragm Vacuum Pump / Matheson
 1 400.98  
Scp Global Thechnology Mcs 6 Cable Box 3270251a Lot Of 2
Scp Global Thechnology Mcs 6 Cable Box 3270251a Lot Of 2
 100.95  
6inCF Components nipple
6inCF Components nipple
 350.00  
Applied Materials 15 Slot Tray 200mm 0020-13995
Applied Materials 15 Slot Tray 200mm 0020-13995
 599.99  
Kalrez AS-568A  K-013  Compound 4079  7/16x9/16x1/16 in  Seal  O-Ring. 328903
Kalrez AS-568A  K-013  Compound 4079  7/16x9/16x1/16 in  Seal  O-Ring. 328903
 8.00  
FMS BKS.D.3.125 Web Guide Actuator
FMS BKS.D.3.125 Web Guide Actuator
 750.00  
LOT Parker Veriflo Valves Regulators Filters Diaphragms Manifold FREE SHIPPING
LOT Parker Veriflo Valves Regulators Filters Diaphragms Manifold FREE SHIPPING
 299.99  254.99  
Epichem Group Epcr-8 Level Indicator 8-channel Digital Read Out Liquid Monitorin
Epichem Group Epcr-8 Level Indicator 8-channel Digital Read Out Liquid Monitorin
 49.99  
Lam 810-802902-003 Motherboard
Lam 810-802902-003 Motherboard
 350.00  
352-0403// Amat Applied 0226-42943 Ftg  Anchor Coupling 2x3/8 Fpt New
352-0403// Amat Applied 0226-42943 Ftg  Anchor Coupling 2x3/8 Fpt New
 30.00  
177-0104// 944msfsff Veriflo Valve [used]
177-0104// 944msfsff Veriflo Valve [used]
 180.00  
*preowned & Tested* Sas Air Cleaning Specialist 6" Exhaust + Warranty
*preowned & Tested* Sas Air Cleaning Specialist 6" Exhaust + Warranty
 350.00  
Span Vacuum - 60 PSI Pressure Gauge Millipore 01-0110-B Fittings Metal Gasket
Span Vacuum - 60 PSI Pressure Gauge Millipore 01-0110-B Fittings Metal Gasket
 79.99  
RECIF Technologies STDBH0130C Interface Board PCB Nikon NSR System Used Working
RECIF Technologies STDBH0130C Interface Board PCB Nikon NSR System Used Working
 308.18  
AMAT Applied Materials 0021-10863 IPS RF Feed-thru Base Working Surplus
AMAT Applied Materials 0021-10863 IPS RF Feed-thru Base Working Surplus
 412.20  
Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537 Used Working
Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537 Used Working
 203.17  
Mizar 6800-01289-0002 C MPI218 PCB Board  AARR
Mizar 6800-01289-0002 C MPI218 PCB Board  AARR
 299.95  
Alphasem AG AS420-1-01 Relay Board PCB AS420-1 Used Working
Alphasem AG AS420-1-01 Relay Board PCB AS420-1 Used Working
 304.17  
Fusion PWB ASSY:249181 REV Dual Cassete Handler board
Fusion PWB ASSY:249181 REV Dual Cassete Handler board
 192.87  135.01  
Aurora Biosciences 00006441 Sensor/Power Board PCB Used Working
Aurora Biosciences 00006441 Sensor/Power Board PCB Used Working
 151.19  
4022.489.70554 Seal Ring  G Viton DN20
4022.489.70554 Seal Ring  G Viton DN20
 520.00  
4022.489.70553 Seal Ring  G Viton DN32
4022.489.70553 Seal Ring  G Viton DN32
 520.00  
AK-3843 Board  AK-3843 / Rev 4A / SanRex
AK-3843 Board  AK-3843 / Rev 4A / SanRex
 520.00  
SST58D4820 Motor  SST58D4820 / Stepping Motor / 1.8DEG / Step / 2.5v / 2.8A / Sh
SST58D4820 Motor  SST58D4820 / Stepping Motor / 1.8DEG / Step / 2.5v / 2.8A / Sh
 520.00  
Dainippon Screen Swcs-d10-1 Board 6vc0736801 Spin Box Controller
Dainippon Screen Swcs-d10-1 Board 6vc0736801 Spin Box Controller
 250.95  
Japan Lsi Puls Gen Board Klsi-006
Japan Lsi Puls Gen Board Klsi-006
 40.00  
MRC Materials Research 25438-2100 Plenum Chuck Assembly Eclipse Star As-Is
MRC Materials Research 25438-2100 Plenum Chuck Assembly Eclipse Star As-Is
 2 005.12  
Tegal Quartz PIN P/N 40-297-001-E  NEW  2 each
Top-Rated Plus Seller Tegal Quartz PIN P/N 40-297-001-E  NEW  2 each
 65.00  
Hitachi HT98822 Interface Connector Board PCB BD14 Ver. A Used Working
Hitachi HT98822 Interface Connector Board PCB BD14 Ver. A Used Working
 208.18  
444-0201// Oriental Motor Ph533-nb Motor [used]
444-0201// Oriental Motor Ph533-nb Motor [used]
 200.00  
Smc Pneumatic Assy
Smc Pneumatic Assy
 199.99  181.99  
Advantest BPG-032802 PPG-632802882BBA
Advantest BPG-032802 PPG-632802882BBA
 249.00  
TEL Tokyo Electron TPC-T0064A-11 Interface Board PCB TOB1064 Used Working
TEL Tokyo Electron TPC-T0064A-11 Interface Board PCB TOB1064 Used Working
 202.19  
MILLIPORE Intelliflow MFC  100 SCCM  NH3  FSDAE100F305
MILLIPORE Intelliflow MFC  100 SCCM  NH3  FSDAE100F305
 475.00  
ASML 4022.668 66241 Cable
ASML 4022.668 66241 Cable
 250.00  
Vertex Adept D621 M1 9722 Circuit Board #18Z23
Vertex Adept D621 M1 9722 Circuit Board #18Z23
 150.00  
BECKHOFF Lof of 10 KL9010 / Free Expedited Shipping
BECKHOFF Lof of 10 KL9010 / Free Expedited Shipping
 319.00  
Gemini Tetron  Gas Panel Interface  SPC #: 3536177  300B350
Gemini Tetron  Gas Panel Interface  SPC #: 3536177  300B350
 65.99  
Scp Global Technology Auxiliary Relay Unit A7278
Scp Global Technology Auxiliary Relay Unit A7278
 90.07  
Amat 0021-77581 Manifold Supply
Amat 0021-77581 Manifold Supply
 400.00  
Aviza Technology 600058-01 Communication PCB Card Used Working
Aviza Technology 600058-01 Communication PCB Card Used Working
 453.19  
*PREOWNED* Straatum 02-0015-36 SmartPIM Hitachi 308 System 0082811 + Warranty!
*PREOWNED* Straatum 02-0015-36 SmartPIM Hitachi 308 System 0082811 + Warranty!
 500.00  
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A Used Working
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A Used Working
 255.17  
scientific optical assembly sy3121 sy-3166-ibm sy-3470 ibm [3*MM-6]
scientific optical assembly sy3121 sy-3166-ibm sy-3470 ibm [3*MM-6]
 250.00  
Lasertec C-100320 Motor Drive Board PCB AutoLoader XYDRIVE Used Working
Lasertec C-100320 Motor Drive Board PCB AutoLoader XYDRIVE Used Working
 303.19  
M443W2DFS-LT Valve  443W2DFS-LT / Solenoid Valve / BECO
M443W2DFS-LT Valve  443W2DFS-LT / Solenoid Valve / BECO
 65.00  
Cymer Inc Module S124249 Used
Cymer Inc Module S124249 Used
 1 800.00  
Genus Board 2299-01 Digital Isolator FAB 2290-00
Genus Board 2299-01 Digital Isolator FAB 2290-00
 137.98  
EMERSON LPS203-M Power Supply
EMERSON LPS203-M Power Supply
 125.00  
LAM Research 605-230312-007 Rev B Board TRUST P.M.D MC55320CP 3.1G Used US&7678
LAM Research 605-230312-007 Rev B Board TRUST P.M.D MC55320CP 3.1G Used US&7678
 259.00  
*PREOWNED* Copal Electronics PS8-102V-HK 0Y1 Pressure Switch + Warranty!
*PREOWNED* Copal Electronics PS8-102V-HK 0Y1 Pressure Switch + Warranty!
 175.00  
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. D SVG ASML 90S Used Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. D SVG ASML 90S Used Working
 410.18  
Gai / Lantek Liu-s Circuit Board Gl170 Rev A
Gai / Lantek Liu-s Circuit Board Gl170 Rev A
 149.99  134.99  
LOT of 3 Mixed Type Flexible Hose Assemblies
LOT of 3 Mixed Type Flexible Hose Assemblies
 89.87  
Kurt J Lesker Molybdenum Target   Mo  90% / 3.00 Dia. x .125 Thick  Part# EJTMOX
Kurt J Lesker Molybdenum Target  Mo  90% / 3.00 Dia. x .125 Thick  Part# EJTMOX
 103.50  93.15  
Kurt J Lesker Molybdenum Target   Mo  80% / 3.00 Dia. x .125 Thick  Part# EJTMOX
Kurt J Lesker Molybdenum Target  Mo  80% / 3.00 Dia. x .125 Thick  Part# EJTMOX
 103.50  93.15  
BTU Engineering 3161181 Video Assembly PCB Card 3161180 Used Working
BTU Engineering 3161181 Video Assembly PCB Card 3161180 Used Working
 402.19  
RECIF Technologies STDAH0347D Interface Board PCB PCB0347B Working Surplus
RECIF Technologies STDAH0347D Interface Board PCB PCB0347B Working Surplus
 305.17  
MEIDENSHA Meiden UPIBOC- I Model UA011/493H Rev C Industrial Controller
MEIDENSHA Meiden UPIBOC- I Model UA011/493H Rev C Industrial Controller
 2 786.88  
Alcatel 967-1609-008 Capacity Key AO Receiver Card UD-35AQ Used Working
Alcatel 967-1609-008 Capacity Key AO Receiver Card UD-35AQ Used Working
 312.13  
Parker Veriflo 930 125psi / 316l Vesp  45900158
Parker Veriflo 930 125psi / 316l Vesp 45900158
 100.00  
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A Used Working
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A Used Working
 255.17  
Millipore Fsgdb100c700 Intelliflow Digital Flow Controller Gas: N2 Range: 30000
Millipore Fsgdb100c700 Intelliflow Digital Flow Controller Gas: N2 Range: 30000
 149.99  
AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7210-0510-01 Bracket
AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7210-0510-01 Bracket
 1 200.00  
New HPS 304 Stainless Steel Non-rotatable Flange ISO-80-000N Nonrotatable Flange
New HPS 304 Stainless Steel Non-rotatable Flange ISO-80-000N Nonrotatable Flange
 68.95  
64-01-909-841 / Reticle 6" 250 Thick 5x Universal Match Photronics / Benchmark
64-01-909-841 / Reticle 6" 250 Thick 5x Universal Match Photronics / Benchmark
 9 500.03  
Alphasem AG AS267-1-01 Power Interface Board PCB AS267-1 Used Working
Alphasem AG AS267-1-01 Power Interface Board PCB AS267-1 Used Working
 254.17  
Horiba H334535B Interface Board PCB PRT-02 PD-201A Used Working
Horiba H334535B Interface Board PCB PRT-02 PD-201A Used Working
 262.18  
Kostek Bm Robot Body Kvr300
Kostek Bm Robot Body Kvr300
 1 000.00  
Rudolph Research A18088-C MP Isolated DC/DC Converter Board PCB Used Working
Rudolph Research A18088-C MP Isolated DC/DC Converter Board PCB Used Working
 302.19  
0090-77110 Assy  Sliprings
0090-77110 Assy  Sliprings
 500.00  
MDC ABLM-133-1 SP with 14 day warranty
MDC ABLM-133-1 SP with 14 day warranty
 950.00  
Mks 959 Hot Cathode Controller
Mks 959 Hot Cathode Controller
 410.00  
LOT OF 5 smc VDW250-5G-2-H-X5 Valve  Solenoid Valve 0~0.7Pa DC24v Orifice 1.6mm
LOT OF 5 smc VDW250-5G-2-H-X5 Valve  Solenoid Valve 0~0.7Pa DC24v Orifice 1.6mm
 119.00  
Hitachi RYY-1 Circuit Board PCB Hitachi MU-712E Used Working
Hitachi RYY-1 Circuit Board PCB Hitachi MU-712E Used Working
 300.14  
Alphasem AG AS264-2-01 Relay Board PCB AS264-2 Used Working
Alphasem AG AS264-2-01 Relay Board PCB AS264-2 Used Working
 254.17  
AUGUST TECHNOLOGY NSX 105/AX1 POWER DISTRIBUTION PN 709544 Rev B AN 709545
AUGUST TECHNOLOGY NSX 105/AX1 POWER DISTRIBUTION PN 709544 Rev B AN 709545
 529.00  
Heraeus 1105-302124-51 Quartz Pedestal 777
Heraeus 1105-302124-51 Quartz Pedestal 777
 200.00  50.00  
Toei Electric BS Servo Motor VLBST-Z01030-U 100W 3000r/min Used
Toei Electric BS Servo Motor VLBST-Z01030-U 100W 3000r/min Used
 120.00  
TOKYO ELCTRON LIMITED TEL ES 1805-320104-11 Inner Base Ring
TOKYO ELCTRON LIMITED TEL ES 1805-320104-11 Inner Base Ring
 300.00  
EDWARDS U20001107P EASON CONTROL BOX Used
EDWARDS U20001107P EASON CONTROL BOX Used
 280.00  
Dry Pump Adixen AD70KL
Dry Pump Adixen AD70KL
 29 995.00  
Tempress Ratio Monitor Pcb Assy 92533 D Rev 01
Tempress Ratio Monitor Pcb Assy 92533 D Rev 01
 120.00  
Xa22304091 / Boc Edwards Filter Element For Cannister Mode / Edwards
Xa22304091 / Boc Edwards Filter Element For Cannister Mode / Edwards
 130.68  
Air Products CRSD1244 Backplane Board PCB Card CRSD 1244 Used Working
Air Products CRSD1244 Backplane Board PCB Card CRSD 1244 Used Working
 154.17  
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev A Used Working
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev A Used Working
 253.19  
Shinko Electric 3ASSYC006802 Interface Board PCB OHT-G YEP-1735A Used Working
Shinko Electric 3ASSYC006802 Interface Board PCB OHT-G YEP-1735A Used Working
 311.18  
347-0402// Amat Applied 0020-34131 Base rf New
347-0402// Amat Applied 0020-34131 Base rf New
 200.00  
Parker Veriflow  Model 06-442VFVF   P/N 54010131
Parker Veriflow  Model 06-442VFVF  P/N 54010131
 49.99  
201-DQASVBMT MFC  100 SCCM / ( N2 ) / 201-DQASVBMT / Mass Flow Controller / Port
201-DQASVBMT MFC  100 SCCM / ( N2 ) / 201-DQASVBMT / Mass Flow Controller / Port
 90.00  
Fisba Optik PCI-X Baumer PCI-XA44 (OD106795)
Fisba Optik PCI-X Baumer PCI-XA44 (OD106795)
 609.00  
Amat 0200-09121  Shield 4 5 6 Bw
Amat 0200-09121  Shield 4 5 6 Bw
 672.00  
Used Ds Teaching Box Ds-s-t1
Used Ds Teaching Box Ds-s-t1
 99.00  
SMT-8000 Horiba Stec    ????
SMT-8000 Horiba Stec ????
 155.00  
Eaton 471882 Front/Rear Control Switch PCB Module 471872 Used Working
Eaton 471882 Front/Rear Control Switch PCB Module 471872 Used Working
 311.17  
Tegal RF Interface PCB # 99-173-008
Tegal RF Interface PCB # 99-173-008
 690.00  
Advantech PCI-1752 Series PCI Board
Advantech PCI-1752 Series PCI Board
 129.00  
AMAT Applied Materials Analog Signal Conditioner 0100-00049
AMAT Applied Materials Analog Signal Conditioner 0100-00049
 520.00  
Ph554-a2 / 5 Phase Stepping Motor  Dc 0.75a / Vexta
Ph554-a2 / 5 Phase Stepping Motor  Dc 0.75a / Vexta
 250.99  
Komatsu Aic-7-6-t3 Temperature Controller
Komatsu Aic-7-6-t3 Temperature Controller
 499.95  
Air Products DD 1552 Non-Incendive Interface Board PCB Used Working
Air Products DD 1552 Non-Incendive Interface Board PCB Used Working
 254.17  
Omron #0176 Interface Board PCB Used Working
Omron #0176 Interface Board PCB Used Working
 310.18  
National Instruments NPB-536C PCB Board Reseller Lot of 2 Used Working
National Instruments NPB-536C PCB Board Reseller Lot of 2 Used Working
 208.15  
Advanced Material on Technology BLDC  Motor Controller
Advanced Material on Technology BLDC Motor Controller
 250.00  
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X
 406.18  
INTERNIX Profort820 PF820-ASEHT RS-232C Interface Controller Teach Pendant
INTERNIX Profort820 PF820-ASEHT RS-232C Interface Controller Teach Pendant
 175.00  
442-0303// Oriental Motor Asm46mk Motor [used]
442-0303// Oriental Motor Asm46mk Motor [used]
 100.00  
347-0402// Amat Applied 0020-04079 Feedthru  Helium [used]
347-0402// Amat Applied 0020-04079 Feedthru  Helium [used]
 100.00  
Used Okidata Ac Input Power Harness Assembly
Used Okidata Ac Input Power Harness Assembly
 15.79  
Applied Materials 670362 Power Fail Board  7802-D-5511  REV C
Applied Materials 670362 Power Fail Board  7802-D-5511  REV C
 62.99  
NASclean Nippon Seisen BF-1.125U-60-M IGS Gas Filter
NASclean Nippon Seisen BF-1.125U-60-M IGS Gas Filter
 149.00  
14908-001   Mitchell Electronics  00200-ad01   00200-0001
14908-001   Mitchell Electronics  00200-ad01   00200-0001
 499.00  
SMC ITV2031-31N3N4-X97 Pressure Regulator
SMC ITV2031-31N3N4-X97 Pressure Regulator
 150.00  
Ultratech Stepper 03-15-04253 Power Driver Backplane Board PCB Used Working
Ultratech Stepper 03-15-04253 Power Driver Backplane Board PCB Used Working
 260.18  
ALKON AP61-P-4 ¼ Nut & Sleeve Assy Brass QTY 20
ALKON AP61-P-4 ¼ Nut & Sleeve Assy Brass QTY 20
 100.00  
Nikon 4S018-134-1 Interface Relay Board PCB STG84 NSR-S204B Used Working
Nikon 4S018-134-1 Interface Relay Board PCB STG84 NSR-S204B Used Working
 407.18  
ACL D13450 REV. D Microwave Control Module
ACL D13450 REV. D Microwave Control Module
 200.00  
5323  Applied Materials 7801-D-1226 (678669) DO P.C. BD (Sink)
5323 Applied Materials 7801-D-1226 (678669) DO P.C. BD (Sink)
 250.00  
342-0303// Amat Applied 0021-07418 Screw  Centering Purge Heater New
342-0303// Amat Applied 0021-07418 Screw  Centering Purge Heater New
 40.00  
810710 / Pump  Low Temp Process Ea-40vew / Steag Electronic Systems
810710 / Pump  Low Temp Process Ea-40vew / Steag Electronic Systems
 7 600.82  
Hitachi BBET-11 Backplane Interconnect PCB Board Used
Hitachi BBET-11 Backplane Interconnect PCB Board Used
 270.00  
RECIF Technologies DISAH0482 A Interface Board PCB PCB0482 D Used Working
RECIF Technologies DISAH0482 A Interface Board PCB PCB0482 D Used Working
 405.17  
Genus Assembly 13056-00 Rev L Circuit Board
Top-Rated Plus Seller Genus Assembly 13056-00 Rev L Circuit Board
 225.98  
Phd11undeh11b(lot Of 20) / Nylon Capsule Filter Lmr 0.04 029mpa 30kgf 30c / Pall
Phd11undeh11b(lot Of 20) / Nylon Capsule Filter Lmr 0.04 029mpa 30kgf 30c / Pall
 380.00  
Aluminum copper 95.5/4.5 wt%  .25" x .25"  73g
Aluminum copper 95.5/4.5 wt%  .25" x .25"  73g
 30.00  
Candela Instruments DTD Controller Assy 0737 REV 2
Candela Instruments DTD Controller Assy 0737 REV 2
 160.97  
Shinko Lm-arm-cont2 Robot Controller
Shinko Lm-arm-cont2 Robot Controller
 2 399.90  
Hitachi HT94222A Circuit Board PCB Used Working
Hitachi HT94222A Circuit Board PCB Used Working
 202.16  
Hitachi BBS210-2 Circuit Board PCB Used Working
Hitachi BBS210-2 Circuit Board PCB Used Working
 202.16  
Pepperl Fuchs KFD2-UT-EX1 Universal Temp Measurement Amplifier
Pepperl Fuchs KFD2-UT-EX1 Universal Temp Measurement Amplifier
 150.00  
Draeger PCB INTERFACE P2 XP-EX 4543021
Top-Rated Plus Seller Draeger PCB INTERFACE P2 XP-EX 4543021
 26.74  
Amat 0020-10135 Manifold Water Pl   Used
Amat 0020-10135 Manifold Water Pl   Used
 180.00  
Hitachi EVAC Control Panel No Keys S-9380 Used Working
Hitachi EVAC Control Panel No Keys S-9380 Used Working
 657.14  
Novellus 15-120465-01 Ceramic Ring Shield DFE/Damaclean 9 1/4" OD 8 1/8" ID
Novellus 15-120465-01 Ceramic Ring Shield DFE/Damaclean 9 1/4" OD 8 1/8" ID
 325.00  
Tylan Fc-260 500 Sccm Argon Mass Flow Controller Lot Of 3
Tylan Fc-260 500 Sccm Argon Mass Flow Controller Lot Of 3
 320.00  
Ultratech 2957766 Monitor Left Hand Position MR00063618
Ultratech 2957766 Monitor Left Hand Position MR00063618
 350.00  
ASML 4022.668.66081 Cable
ASML 4022.668.66081 Cable
 250.00  
1338  Trebor 85 Surge Suppressor
1338 Trebor 85 Surge Suppressor
 80.00  
5628  Pentagon Technologies 4600588-0002 Shield T-Slot 6”
5628 Pentagon Technologies 4600588-0002 Shield T-Slot 6”
 250.00  
Bedienpaneel Logica AMB-BBP-2 Pcb Board PMB01A1 Unused &7843
Bedienpaneel Logica AMB-BBP-2 Pcb Board PMB01A1 Unused &7843
 89.00  
Eaton  A-24 End Station Vac Control Interface PCB  0342-1077-4001  NOS
Top-Rated Plus Seller Eaton A-24 End Station Vac Control Interface PCB  0342-1077-4001  NOS
 295.00  236.00  
Alphasem AG AS485-0-02 Interface Board PCB AS485-0 Used Working
Alphasem AG AS485-0-02 Interface Board PCB AS485-0 Used Working
 154.17  
Sgmph-01b1a2c / Ac Servo Motor / Yaskawa Electric
Sgmph-01b1a2c / Ac Servo Motor / Yaskawa Electric
 125.68  
Astech Rc-11 Automatic Matching Controller  Used
Astech Rc-11 Automatic Matching Controller  Used
 450.00  
RECIF Technologies INTAH0251 Lighting Interface Board PCB PCB0251 Used Working
RECIF Technologies INTAH0251 Lighting Interface Board PCB PCB0251 Used Working
 155.17  
Applied Materials 670197 Circuit Board  SCH Ref # 7802-D-5033  REV D
Applied Materials 670197 Circuit Board  SCH Ref # 7802-D-5033  REV D
 42.99  
Genus 2299-02 Digital Isolator Board
Top-Rated Plus Seller Genus 2299-02 Digital Isolator Board
 129.98  
Mills Specialty Products  Model TS200-1.0 Hydraulic Cylinder
Mills Specialty Products Model TS200-1.0 Hydraulic Cylinder
 310.00  
Tantalum SEM target 99.95% pure  63mm (also 54mm or 57mm) diameter x 0.3mm thick
Tantalum SEM target 99.95% pure  63mm (also 54mm or 57mm) diameter x 0.3mm thick
 180.00  
Nova 510-20000-03 XE Illumination Powers on no bulb or fiber cables
Top-Rated Plus Seller Nova 510-20000-03 XE Illumination Powers on no bulb or fiber cables
 149.99  119.99  
NEW MKS TECHNOLOGIES 492015-1006 HEATER JACKET- 6” Long 1.5” Diameter 120 VAC
NEW MKS TECHNOLOGIES 492015-1006 HEATER JACKET- 6” Long 1.5” Diameter 120 VAC
 257.50  
KV Automation 4022.486.18091 Pneumatic PCB Card PNEUMASEAL ON/OFF 2W Working
KV Automation 4022.486.18091 Pneumatic PCB Card PNEUMASEAL ON/OFF 2W Working
 506.19  
Plate  Ball Transer Load Dist AMAT 0020-26723
Plate  Ball Transer Load Dist AMAT 0020-26723
 450.00  
Lam 715-031752-207 Cap  Lower Electrode 6''
Lam 715-031752-207 Cap  Lower Electrode 6''
 1 600.00  
Toray Engineering LC-800 V2 Oxygen Analyzer LC-800
Toray Engineering LC-800 V2 Oxygen Analyzer LC-800
 230.00  
Magpowr Digital Tension Readout Dtr
Magpowr Digital Tension Readout Dtr
 199.90  
Mtt Dsp8067a Vme Board Nikon Nsr Board
Mtt Dsp8067a Vme Board Nikon Nsr Board
 1 799.00  
AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Working
AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Working
 201.19  
Lsh609  Lsl610
Lsh609  Lsl610
 199.00  
New SIS 0040-02431 Molybdenum Iridium Wire Coating RGA Analysis Filiment
New SIS 0040-02431 Molybdenum Iridium Wire Coating RGA Analysis Filiment
 108.36  
68 air solenoid valves 43 hand valves SS Hi-Purity VCO
68 air solenoid valves 43 hand valves SS Hi-Purity VCO
 7 995.00  
2713  Set of 4 Applied Materials 8300 Base Plate Graphite Shields
2713 Set of 4 Applied Materials 8300 Base Plate Graphite Shields
 200.00  
Opal Ea 30612720100 Hmdb Board Ek 1 94v-0 0401
Opal Ea 30612720100 Hmdb Board Ek 1 94v-0 0401
 699.99  
SVG Silicon Valley Group 80164B EXH FLOW CNTRL Board PCB 90S Used Working
SVG Silicon Valley Group 80164B EXH FLOW CNTRL Board PCB 90S Used Working
 207.16  
Amat 3020-01132 Cylinder Removed From Mirra Hclu
Amat 3020-01132 Cylinder Removed From Mirra Hclu
 350.00  
5807  Solid State Cooling Systems Switchback 6600 CE-D56JJ Power Supply
5807 Solid State Cooling Systems Switchback 6600 CE-D56JJ Power Supply
 3 200.00  
See Description Asml 4022.480.68502 Motor Unit Scan Mover Assy Sn: 86074-26
See Description Asml 4022.480.68502 Motor Unit Scan Mover Assy Sn: 86074-26
 700.00  
NEW Cosense/Measurement Specialties 091-20201 Transmitter/Controller??
NEW Cosense/Measurement Specialties 091-20201 Transmitter/Controller??
 349.99  
704.900.4 Switch  704.900.4 / Emergency Stop Switch / EAO
704.900.4 Switch  704.900.4 / Emergency Stop Switch / EAO
 19.55  
Taiflex Plastic Backsheet 1M 1000mm Width 100M 0.355mm Thick Vtape Solmate K3
Taiflex Plastic Backsheet 1M 1000mm Width 100M 0.355mm Thick Vtape Solmate K3
 349.95  
Omron #0135 Interface Board PCB Used Working
Omron #0135 Interface Board PCB Used Working
 310.18  
3334  UII 70008C Board
3334 UII 70008C Board
 65.00  
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left Y
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left Y
 406.18  
Amat 0040-77539 Gimbel Base
Amat 0040-77539 Gimbel Base
 500.00  
Applied Materials Chamber Lid Wsi  0010-09940
Applied Materials Chamber Lid Wsi 0010-09940
 5 499.99  
Verteq 1087022-1 RD Controller
Top-Rated Plus Seller Verteq 1087022-1 RD Controller
 359.98  
Nimbus Cu Assembly Holder  P/n 1127303  New Old Stock
Nimbus Cu Assembly Holder  P/n 1127303  New Old Stock
 550.00  440.00  
G169029 Interphase Systems Inc. IS510 Computer Control  Accelerometer  Encoder
G169029 Interphase Systems Inc. IS510 Computer Control  Accelerometer  Encoder
 100.00  
Agilent E6980 Loadboard Stiffener with Andapt Specialities LLC Tester HP 93000
Agilent E6980 Loadboard Stiffener with Andapt Specialities LLC Tester HP 93000
 699.99  
Kurt J. Lesker 1 Inch Baseplate F/t Eft0123054
Kurt J. Lesker 1 Inch Baseplate F/t Eft0123054
 179.99  
PHILLIPS 4022 332 86341 e PSD INTERFACE 5322 694 16012  /  Free Shipping
PHILLIPS 4022 332 86341 e PSD INTERFACE 5322 694 16012 / Free Shipping
 599.00  
Rudolph 200482 Rev N I/o Board 777
Rudolph 200482 Rev N I/o Board 777
 700.00  175.00  
1150  Edwards A52844483 Interphase Module
1150 Edwards A52844483 Interphase Module
 250.00  
Patlite MES-A & MES-02A Signal Towers 5.5” Lot of 2
Patlite MES-A & MES-02A Signal Towers 5.5” Lot of 2
 75.00  
Delta Design 1906876-501 Output Driver PCA Board PCB Summit ATC Thermal Used
Delta Design 1906876-501 Output Driver PCA Board PCB Summit ATC Thermal Used
 162.18  
Tazmo S00 Seriese Robot Controller
Tazmo S00 Seriese Robot Controller
 1 499.90  
Plasmaquest 256-a with Lambda LFS-43-15
Plasmaquest 256-a with Lambda LFS-43-15
 40.00  
Evans Components pw-16-pezmf-gvps-16-pt Valve Assembly
Evans Components pw-16-pezmf-gvps-16-pt Valve Assembly
 85.00  
130-0401// Amat Applied 0100-09084 Board Used
130-0401// Amat Applied 0100-09084 Board Used
 250.00  
Aichi Toket Nf10-ptn
Aichi Toket Nf10-ptn
 250.00  
ASML 4022.668.66261 Cable
ASML 4022.668.66261 Cable
 75.00  
Tazmo EOR05-1656A EOR05-13755 DNS Board
Tazmo EOR05-1656A EOR05-13755 DNS Board
 120.00  
Wallac Sensor Board RXA 1055 5110 A
Wallac Sensor Board RXA 1055 5110 A
 89.49  
Amat 0010-34319 6 In Silane Susceptor S-tc  Used
Amat 0010-34319 6 In Silane Susceptor S-tc  Used
 2 400.00  
Smc Zse40-01-22l 12-24vdc Unmp
Smc Zse40-01-22l 12-24vdc Unmp
 37.00  
Knight Pump OEM 76.1600953 #576
Knight Pump OEM 76.1600953 #576
 189.00  
Muratec HASSYC810603 Processor Board PCB LDMIF2C M202 Used Working
Muratec HASSYC810603 Processor Board PCB LDMIF2C M202 Used Working
 412.18  
DNS 3 Bottle Change Controller  CECC-001K1
DNS 3 Bottle Change Controller  CECC-001K1
 1 250.00  
FutureStar FX1A4-0037-6F New  FSI
FutureStar FX1A4-0037-6F New FSI
 799.00  
Newport Ils150pp With Newport Vp-5za
Newport Ils150pp With Newport Vp-5za
 11 399.00  
Applied Materials 1987 Precision Etch 8300/8300A Systems 1 Course Manual Week 1
Top-Rated Plus Seller Applied Materials 1987 Precision Etch 8300/8300A Systems 1 Course Manual Week 1
 37.98  
Shinkawa CMU-04 Camera Module
Top-Rated Plus Seller Shinkawa CMU-04 Camera Module
 58.98  
Sieger 05704-a-a0122 Relay Card
Sieger 05704-a-a0122 Relay Card
 200.95  
2948  Novellus Cover
2948 Novellus Cover
 150.00  
5101  NP New Power Plasma NPRLC-400 Controller
5101 NP New Power Plasma NPRLC-400 Controller
 100.00  
5322  Applied Materials 03-81723-00 (06-81723-00) Motor Driver Board
5322 Applied Materials 03-81723-00 (06-81723-00) Motor Driver Board
 150.00  
5328  Applied Materials 06-81830-00 (03-81830-00) PROM Board
5328 Applied Materials 06-81830-00 (03-81830-00) PROM Board
 150.00  
5332  Applied Materials D-681810 (6818B) C&D Board
5332 Applied Materials D-681810 (6818B) C&D Board
 150.00  
3102  SCP Global PVDF Mixing Container
3102 SCP Global PVDF Mixing Container
 150.00  
Crossing Automation 3200-4418-03 Control Board
Crossing Automation 3200-4418-03 Control Board
 120.00  
G167234 Anelva H11-10417 Rack-Mount Process Controller
G167234 Anelva H11-10417 Rack-Mount Process Controller
 300.00  
Micro-aide Pcb 80-0023 Rev B
Micro-aide Pcb 80-0023 Rev B
 90.00  
Shinko HASSYC806402 Recovery Board PCB M174-1 OHT-CAP2 Single Module Used
Shinko HASSYC806402 Recovery Board PCB M174-1 OHT-CAP2 Single Module Used
 312.18  
Nikon 4S013-487 Interface Control Board PCB IFIOPIF3 Used Working
Nikon 4S013-487 Interface Control Board PCB IFIOPIF3 Used Working
 8 010.17  3 204.07  
SVG Silicon Valley Group 859-0832-007 Interface PCB Card Rev. F 90S Used Working
SVG Silicon Valley Group 859-0832-007 Interface PCB Card Rev. F 90S Used Working
 310.18  
Thermco Pcb Board Assy 117860-001 Rev. N
Thermco Pcb Board Assy 117860-001 Rev. N
 299.99  
ACL D13450 REV. D Microwave Control Module
ACL D13450 REV. D Microwave Control Module
 200.00  
Amat 0021-79310 Adapter – Flexible Coupling W/ 0190-77116 0021-77866
Amat 0021-79310 Adapter – Flexible Coupling W/ 0190-77116 0021-77866
 1 700.00  
Verteq Rotor Part Number A 72-40mb   H.bar Out   See Detail
Verteq Rotor Part Number A 72-40mb   H.bar Out   See Detail
 650.00  
AMAT Applied Materials ISOLATION AMPLIFIER 0100-00156
AMAT Applied Materials ISOLATION AMPLIFIER 0100-00156
 550.00  
134-0101// Amat Applied 0020-01985 0020-01999 Applied Matrials Components [asis]
134-0101// Amat Applied 0020-01985 0020-01999 Applied Matrials Components [asis]
 10 000.00  
Thermco Systems 168615-013 Temperature Interface
Top-Rated Plus Seller Thermco Systems 168615-013 Temperature Interface
 170.86  
NPS Siguma-5 (Σ -5) Resistivity Processor (Front Probe  & Power Cord Included)
NPS Siguma-5 (Σ -5) Resistivity Processor (Front Probe  & Power Cord Included)
 200.00  
G-1002-R-1-8-100-300-2-1-000 Switch  G-1002-R-1-8-100-300-2-1-000 / Pressure Swi
G-1002-R-1-8-100-300-2-1-000 Switch  G-1002-R-1-8-100-300-2-1-000 / Pressure Swi
 70.00  
Drytek / Lam Flow/He Interface Board  2800606
Top-Rated Plus Seller Drytek / Lam Flow/He Interface Board  2800606
 225.00  
TEFLON WETTED AIR OPERATED DIAPHRAGM PUMP AV-5269-331Pi by ADVANCE NAGOYA JAPAN
TEFLON WETTED AIR OPERATED DIAPHRAGM PUMP AV-5269-331Pi by ADVANCE NAGOYA JAPAN
 299.99  
New Gems Sensors 25329 Level Switch *free Shipping*
New Gems Sensors 25329 Level Switch *free Shipping*
 49.50  
123-0302// Amat Applied 0020-70436 Plate  Cam Slit Valve [used]
123-0302// Amat Applied 0020-70436 Plate  Cam Slit Valve [used]
 60.00  
RECIF Technologies STDAH0543 A LED Interface Board PCB PCB0543 A Used Working
RECIF Technologies STDAH0543 A LED Interface Board PCB PCB0543 A Used Working
 150.17  
132-0401// Edwards D37215000 (#1) Used
132-0401// Edwards D37215000 (#1) Used
 200.00  
Philips FEI XL40 ESEM Power Supply 4022 296 00004 4022 296 00013 4022 296 00053
Philips FEI XL40 ESEM Power Supply 4022 296 00004 4022 296 00013 4022 296 00053
 3 900.00  
Ade Wis Bus Interface Board  398-20044-1s/er/sn210
Ade Wis Bus Interface Board  398-20044-1s/er/sn210
 59.99  
Edwards Ngr409000  Devicnet Interface Module Software  950 Rev. C
Edwards Ngr409000 Devicnet Interface Module Software 950 Rev. C
 299.95  
Lambda    Jws75-15/a Power Supply
Lambda Jws75-15/a Power Supply
 64.99  
Yaskawa Motionpack 110 Jefmc-b011-03b
Yaskawa Motionpack 110 Jefmc-b011-03b
 220.00  
Cincinnati Electrosystems 9650-128
Cincinnati Electrosystems 9650-128
 130.00  
Optimised Control D571 Processor Board Card PCB D573-2-JED Used Working
Optimised Control D571 Processor Board Card PCB D573-2-JED Used Working
 354.18  
Unit Celerity UFC-1660 HBr 300 Sccm P/N: 1660-100099 Mass Flow Controller
Unit Celerity UFC-1660 HBr 300 Sccm P/N: 1660-100099 Mass Flow Controller
 299.00  
ASML 4022.668.66231 Cable
ASML 4022.668.66231 Cable
 250.00  
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right Y
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right Y
 406.18  
New World Machining 12002558 Rev. 2 Cover  Vacuum Delivery Aluminum New
Top-Rated Plus Seller New World Machining 12002558 Rev. 2 Cover  Vacuum Delivery Aluminum New
 27.98  
Fusion Systems EATON UNI - 310401
Fusion Systems EATON UNI - 310401
 81.28  
tegal 99-173-007 RF Interface PCB
tegal 99-173-007 RF Interface PCB
 550.00  
Marathon    500mcm-4 Cu7al Power Distribution Block   Used
Marathon 500mcm-4 Cu7al Power Distribution Block   Used
 44.99  
UFC-1100A O2 100  SCCM MFC Unit Instruments Inc
UFC-1100A O2 100 SCCM MFC Unit Instruments Inc
 120.00  
Advantest BLL-029622 Over Current PCB Circuit Board M4542AD Used Working
Advantest BLL-029622 Over Current PCB Circuit Board M4542AD Used Working
 356.16  
Novellus Systems 06-2568 Centering Ring 200mm Platen Wall Isolation
Novellus Systems 06-2568 Centering Ring 200mm Platen Wall Isolation
 407.18  
FutureStar Flow Meter FX221-005-100  New 221005100 FSI
FutureStar Flow Meter FX221-005-100 New 221005100 FSI
 375.00  
SMC MHQJ2-20CS Air Gripper
SMC MHQJ2-20CS Air Gripper
 31.99  29.75  
Varian 572 Ionization Gauge Tube Dual Tungsten Filament Gauge w/Manual K7360301
Top-Rated Plus Seller Varian 572 Ionization Gauge Tube Dual Tungsten Filament Gauge w/Manual K7360301
 99.99  89.99  
NEW MKS TECHNOLOGIES 492015-1021 HEATER JACKET- 21” length 1.5” Diameter 120VAC
NEW MKS TECHNOLOGIES 492015-1021 HEATER JACKET- 21” length 1.5” Diameter 120VAC
 385.00  
SVG Silicon Valley Group 859-0866-004 Processor PCB Card Rev. C ASML 90S Used
SVG Silicon Valley Group 859-0866-004 Processor PCB Card Rev. C ASML 90S Used
 310.18  
Materials Research Corp. ECLIPSE-Star Installation  Operator's & Service Manual
Top-Rated Plus Seller Materials Research Corp. ECLIPSE-Star Installation  Operator's & Service Manual
 42.95  
Verteq System Power Control Panel
Top-Rated Plus Seller Verteq System Power Control Panel
 145.98  
Allen-bradley Contactor 500-dod93
Allen-bradley Contactor 500-dod93
 145.00  
NEW DISCO PAZZ0021 4669531-0001 DPW-021 DP-F05 SIZE 350 x 11T x 60 FREE SHIPPING
NEW DISCO PAZZ0021 4669531-0001 DPW-021 DP-F05 SIZE 350 x 11T x 60 FREE SHIPPING
 2 850.00  2 422.50  
Schroff Extension Board 23021-607 And 23021655
Schroff Extension Board 23021-607 And 23021655
 199.00  
Balzers BG 290 348 AU Measuring Amplifier PCB Card BG290348AU Used Working
Balzers BG 290 348 AU Measuring Amplifier PCB Card BG290348AU Used Working
 357.16  
Tazmo EOR05-12703 Board
Tazmo EOR05-12703 Board
 120.00  
2307418573 / Demister-fan Blade 5-8 Bore bul-1031302 1eh4961031302 / Aget
2307418573 / Demister-fan Blade 5-8 Bore bul-1031302 1eh4961031302 / Aget
 175.68  
Cognex 200-0057-1 rev ZC VPM-3418-1
Cognex 200-0057-1 rev ZC VPM-3418-1
 449.00  
Smc Arj210-m5bg + 0.2 - 0.7 Mpa Set Press Regulator With 0 - 1 Mpa Gauge
Smc Arj210-m5bg + 0.2 - 0.7 Mpa Set Press Regulator With 0 - 1 Mpa Gauge
 20.00  
Transat ALC-2 ALC-24 Lapping Controller
Transat ALC-2 ALC-24 Lapping Controller
 159.99  
AMAT 0020-10122 PLATE PERF 125MM Oxide
AMAT 0020-10122 PLATE PERF 125MM Oxide
 980.00  
SPAN THRUTUBE TRANSDUCER  Model NiT-00204  NEW
SPAN THRUTUBE TRANSDUCER  Model NiT-00204  NEW
 89.00  
SVG Silicon Valley Group 80105B REV B Motherboard
SVG Silicon Valley Group 80105B REV B Motherboard
 179.99  
Amat 0020-79252 Rear Housing
Amat 0020-79252 Rear Housing
 400.00  
Fbpcb-0174 / Pcb  /  Disco
Fbpcb-0174 / Pcb / Disco
 100.00  
Benchtop Chemical Bath with Lid
Benchtop Chemical Bath with Lid
 400.00  
Tsk Id Saw
Tsk Id Saw
 30 827.50  
2947  Applied Materials P/N: 0020-63120 Rev. 001 Cover
2947 Applied Materials P/N: 0020-63120 Rev. 001 Cover
 210.00  
Amat 0020-70254 Chamber Loadlock   Used
Amat 0020-70254 Chamber Loadlock   Used
 3 900.00  
AE(APPLIED ENERGY TECHNOLOGY)  EPS 200 / Power on tested
AE(APPLIED ENERGY TECHNOLOGY) EPS 200 / Power on tested
 800.00  
Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000 Used Working
Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000 Used Working
 209.16  
Halstrup Walcher REG 21 Differential Pressure Transmitter
Halstrup Walcher REG 21 Differential Pressure Transmitter
 100.07  
XEI Scientific CCA 233355-00
XEI Scientific CCA 233355-00
 109.00  
Wavetek 110S44 Function Generator  Model 110  453077
Wavetek 110S44 Function Generator  Model 110  453077
 295.00  
THK    EK8+EF8 Ground Ball Screw 480MM  USED
THK EK8+EF8 Ground Ball Screw 480MM  USED
 120.00  
ASM 1127-408-01 Cold Trap SST
Top-Rated Plus Seller ASM 1127-408-01 Cold Trap SST
 99.98  
Wonik 1105-101196-51 Fin Pedestal Quartz 110510119651
Wonik 1105-101196-51 Fin Pedestal Quartz 110510119651
 699.00  
Texas Instruments NVSRAM Manual Adapter Board 1210B
Texas Instruments NVSRAM Manual Adapter Board 1210B
 149.99  
CVC Products Inc Vacuum Controller  AVC 485
CVC Products Inc Vacuum Controller AVC 485
 4 000.00  
Schroff 60800-381 VME Systembus 11-Slot Backplane Board PCB Used Working
Schroff 60800-381 VME Systembus 11-Slot Backplane Board PCB Used Working
 312.18  
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. B Used Working
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. B Used Working
 154.17  
VSTC CPU XVB-101B / Free Expedited Shipping
VSTC CPU XVB-101B / Free Expedited Shipping
 999.00  
VWR 1670 High Performance Horizontal Air Flow Oven  Tag #96
VWR 1670 High Performance Horizontal Air Flow Oven Tag #96
 2 943.00  
New No Box Circuit Board Pcb 34176 Asm 34177
Top-Rated Plus Seller New No Box Circuit Board Pcb 34176 Asm 34177
 190.00  
ASML 4022.471.4716 Interface Board PCB Module 4022 471 4717.1 Used Working
ASML 4022.471.4716 Interface Board PCB Module 4022 471 4717.1 Used Working
 260.17  
Air Products CRSD 1319 Power Supply Assembly PCB CRSD1319 SRW-65-2201 Used
Air Products CRSD 1319 Power Supply Assembly PCB CRSD1319 SRW-65-2201 Used
 304.17  
TEL Tokyo Electron XPC-T0090A-11 Interface Board PCB TOB1092 Used Working
TEL Tokyo Electron XPC-T0090A-11 Interface Board PCB TOB1092 Used Working
 302.19  
HoribaSTEC - Criterion - Digital Mass Flow Module - D517MG - P/N:301-100063-500
Top-Rated Plus Seller HoribaSTEC - Criterion - Digital Mass Flow Module - D517MG - P/N:301-100063-500
 358.87  
Unit Instruments UCAL-1000 (Celerity) MFC MFM Calibrator
Unit Instruments UCAL-1000 (Celerity) MFC MFM Calibrator
 495.00  
Kondoh Kohsya RY2-PCB(KG) Power Supply Board PCB Cosel ZUS101212 Used Working
Kondoh Kohsya RY2-PCB(KG) Power Supply Board PCB Cosel ZUS101212 Used Working
 153.18  
Leybold TW 701 Turbo Pump  800051V0025  59V48000rpm
Leybold TW 701 Turbo Pump  800051V0025  59V48000rpm
 7 500.00  
Bruce Technologies International Process Control Unit 7351c
Bruce Technologies International Process Control Unit 7351c
 899.99  
Electrotech Cpu Pcb  P/n Cb3971
Top-Rated Plus Seller Electrotech Cpu Pcb  P/n Cb3971
 950.00  760.00  
176-0204// Magnehelic 2006c 15 Psig Gauge [used]
176-0204// Magnehelic 2006c 15 Psig Gauge [used]
 20.00  
Applied Materials  Mc-300132 6''
Applied Materials Mc-300132 6''
 888.00  
Acrosser Technologies AR-MB11 Backplane Board PCB VER:2.1 Used Working
Acrosser Technologies AR-MB11 Backplane Board PCB VER:2.1 Used Working
 208.17  
Omron F3SJ-A0905P20-D Safety Light Curtain  #11399
Omron F3SJ-A0905P20-D Safety Light Curtain #11399
 375.20  318.92  
28 Tyco 03P MRII  3 position locking Plug Housing red
28 Tyco 03P MRII  3 position locking Plug Housing red
 20.00  
Nikon MGX22560/A201-0 Differential Pressure Meter Used Working
Nikon MGX22560/A201-0 Differential Pressure Meter Used Working
 305.16  
Smc Lvq30-z11n-1 3/8" Flow Control Valve  Nos
Top-Rated Plus Seller Smc Lvq30-z11n-1 3/8" Flow Control Valve  Nos
 150.00  
Smc Vxz2230l-03-5dz1-b  Soleniod Valve  Nos
Top-Rated Plus Seller Smc Vxz2230l-03-5dz1-b  Soleniod Valve  Nos
 168.00  
August Technology 701719 NSX - Power Distribution Board (8174)W
Top-Rated Plus Seller August Technology 701719 NSX - Power Distribution Board (8174)W
 700.00  
inmac Clear Signal T-Switch A B
inmac Clear Signal T-Switch A B
 45.00  
346-0302// Amat Applied 0021-20535 Adapter  Cassette Nest Smif Used
346-0302// Amat Applied 0021-20535 Adapter  Cassette Nest Smif Used
 220.00  
5300  Shindengen 990564L.0225 DC Solenoid  Nikon BMU-3 Assy
5300 Shindengen 990564L.0225 DC Solenoid  Nikon BMU-3 Assy
 150.00  
5298  Shindengen 890564L.0104 DC Solenoid/Nikon BMU-3 Assy
5298 Shindengen 890564L.0104 DC Solenoid/Nikon BMU-3 Assy
 150.00  
5299 Shindengen 990564L.0215 DC Solenoid/Nikon BMU-3 Assy
5299 Shindengen 990564L.0215 DC Solenoid/Nikon BMU-3 Assy
 150.00  
Yashibi IP-245 Encapsulation DIP Bridge 88.6 PCB Board Used Working
Yashibi IP-245 Encapsulation DIP Bridge 88.6 PCB Board Used Working
 160.16  
Aro Diaphram Pump
Aro Diaphram Pump
 475.00  
Dynatronix A/I Board 138-0182-52 190-0182-00
Top-Rated Plus Seller Dynatronix A/I Board 138-0182-52 190-0182-00
 99.98  
4715  Applied Materials 0100-00027 PCB Assy. Gripper
4715 Applied Materials 0100-00027 PCB Assy. Gripper
 75.00  
Kurt J Lesker Molybdenum Target   Mo  80% / 3.00 Dia. x .125 Thick  Part# EJTMOX
Kurt J Lesker Molybdenum Target  Mo  80% / 3.00 Dia. x .125 Thick  Part# EJTMOX
 103.50  93.15  
Led Light Ring Power 06-0680-00 Rev C
Led Light Ring Power 06-0680-00 Rev C
 295.00  
0020-20971 B
0020-20971 B
 249.00  
IP-Square IP57709A-NWC Video Processor Board IPS7709A-NWE Used Working
IP-Square IP57709A-NWC Video Processor Board IPS7709A-NWE Used Working
 203.17  
Toshiba Transistor Inverter Vfs9-2007pm-wn 3ph 6.7/5.8a A Amps 200/230v Volts
Toshiba Transistor Inverter Vfs9-2007pm-wn 3ph 6.7/5.8a A Amps 200/230v Volts
 93.75  
437-0401// Abb El3020 Controller [used/fast]
437-0401// Abb El3020 Controller [used/fast]
 4 000.00  
2814  West Coast 93-30123A Quartz NM Poly  Reduced  300MM.  E Max
2814 West Coast 93-30123A Quartz NM Poly  Reduced  300MM. E Max
 200.00  
Meiden RZ42Z USB Digital I/O PCB Card MU24A31092 SU22A31819 Used Working
Meiden RZ42Z USB Digital I/O PCB Card MU24A31092 SU22A31819 Used Working
 260.17  
MS4-LR-1/4-D5-AS Valve  With Pressure Gauge / Festo
MS4-LR-1/4-D5-AS Valve  With Pressure Gauge / Festo
 60.00  
2x Flouroware C20 tweezers (new in open box)
2x Flouroware C20 tweezers (new in open box)
 15.00  
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working
 154.17  
Amat 3800-01116 Rgltr Air Press 7-100psig
Amat 3800-01116 Rgltr Air Press 7-100psig
 500.00  
Yamamoto Electric Works MS61H Differential Pressure Switch  0.05~0.3kPa  Air
Yamamoto Electric Works MS61H Differential Pressure Switch  0.05~0.3kPa  Air
 32.99  
MKS 649A-28982 He 5000 Sccm
MKS 649A-28982 He 5000 Sccm
 899.00  
Ref Plus Fan Guard RGR-0040 - Free Shipping + Geniune OEM
Ref Plus Fan Guard RGR-0040 - Free Shipping + Geniune OEM
 24.64  22.98  
Mykrolis 50-04424 Interface Board PCB Sub-Assembly 40-04425 Used Working
Mykrolis 50-04424 Interface Board PCB Sub-Assembly 40-04425 Used Working
 354.17  
SVG Silicon Valley Group 600403-02 Host Computer Interconnect PCB 80106A Used
SVG Silicon Valley Group 600403-02 Host Computer Interconnect PCB 80106A Used
 204.18  
Tazmo E0R05-8012A Dual Digit Display PCB Board Used Working
Tazmo E0R05-8012A Dual Digit Display PCB Board Used Working
 159.16  
135-0101// Amat Applied 0010-13034 0020-01999 0020-31587 0020-75640 [asis]
135-0101// Amat Applied 0010-13034 0020-01999 0020-31587 0020-75640 [asis]
 20 000.00  
Axcelis Implanter Nut 17170580 (99416) QTY 4
Axcelis Implanter Nut 17170580 (99416) QTY 4
 30.00  
Delta Design 1906876-501 Output Driver PCA Board PCB Rev. C Used Working
Delta Design 1906876-501 Output Driver PCA Board PCB Rev. C Used Working
 154.17  
Assembly Automation 01-16279 Thermal/Heater Controller
Top-Rated Plus Seller Assembly Automation 01-16279 Thermal/Heater Controller
 99.98  
Matrix Integrated Systems BM-401 Backplane PCB Board System 10 Style 1104 Used
Matrix Integrated Systems BM-401 Backplane PCB Board System 10 Style 1104 Used
 158.16  
New Verteq Ce Meq Power Filter 1082000.1
New Verteq Ce Meq Power Filter 1082000.1
 299.00  
Svg Station Cpu Bd 80166f
Svg Station Cpu Bd 80166f
 100.00  
ASML 4022.668 66101 Cable
ASML 4022.668 66101 Cable
 250.00  
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right X
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right X
 406.18  
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. G Used Working
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. G Used Working
 154.17  
Millipore INGEN2PSI Pump Control Unit  6 Channel  85~250VAC
Millipore INGEN2PSI Pump Control Unit  6 Channel  85~250VAC
 549.99  
Xprt 17682
Xprt 17682
 99.99  
Berkeley Process Control 300-003-873 48/24 Vdc Power Module
Berkeley Process Control 300-003-873 48/24 Vdc Power Module
 599.95  
Wonik 1105-201185-31 224/External Torch Chamber 110520118531 Ser# C7491-1
Wonik 1105-201185-31 224/External Torch Chamber 110520118531 Ser# C7491-1
 349.89  
Advantest PLJ-429620AA Controller PCB Assembly BLJ-029620 Advantest M454AD Used
Advantest PLJ-429620AA Controller PCB Assembly BLJ-029620 Advantest M454AD Used
 306.16  
Nikon 4S013-380 Power Interface Board PCB NSR System Used Working
Nikon 4S013-380 Power Interface Board PCB NSR System Used Working
 502.19  
Spectramass Dataquad 200 Residual Gas Analyzer
Spectramass Dataquad 200 Residual Gas Analyzer
 1 699.99  1 019.99  
Smc Sfb104-m5 - Ultratech - Sf Clean Gas Filter 57-20-03306 (uk)
Smc Sfb104-m5 - Ultratech - Sf Clean Gas Filter 57-20-03306 (uk)
 123.65  
Amat/hytron 22032672-000 Kinetics
Amat/hytron 22032672-000 Kinetics
 13.99  9.23  
NTE Electronics 502-0307 POT .1W 5K OHM 16MM 6MM SHAFT DIA 1.18" SHAFT
NTE Electronics 502-0307 POT .1W 5K OHM 16MM 6MM SHAFT DIA 1.18" SHAFT
 4.37  
Variant  ConnvecTorr  P-Type Vacuum Gauge  L9090306
Variant  ConnvecTorr  P-Type Vacuum Gauge  L9090306
 199.50  
OMRON ZX-LT010  /   Free Expedited Shipping
OMRON ZX-LT010 / Free Expedited Shipping
 299.00  
VAT 0310X-CA24-AKK2/0080 A-291932 VAT Rectangular Insert/Door
VAT 0310X-CA24-AKK2/0080 A-291932 VAT Rectangular Insert/Door
 500.00  
Rietschle Thomas 2688VEF22-A01 Pneumatic Pump Used Working
Rietschle Thomas 2688VEF22-A01 Pneumatic Pump Used Working
 307.16  
Valve-air; Aov Dasdv-07017
Valve-air; Aov Dasdv-07017
 300.00  
347-0403// Amat Applied 0040-09033 Applied Matrials Components Used
347-0403// Amat Applied 0040-09033 Applied Matrials Components Used
 200.00  
Densan DSB-516A (9254A) card
Densan DSB-516A (9254A) card
 309.00  
Amat 0242-34145 Bb Tmt Assy.  Lc  Mod 1
Amat 0242-34145 Bb Tmt Assy.  Lc  Mod 1
 4 500.00  
+ Burling 3240-k5-2-0003 Supply Volts 24 V Ac Type K
+ Burling 3240-k5-2-0003 Supply Volts 24 V Ac Type K
 440.00  286.00  
Orbot Instruments 710-62101-DD WFSCSI PCB Connector Board Lot of 2 Used Working
Orbot Instruments 710-62101-DD WFSCSI PCB Connector Board Lot of 2 Used Working
 158.16  
Hitachi 571-7115 PS Amp 1 Board PCB Assembly 25717110 Used Working
Hitachi 571-7115 PS Amp 1 Board PCB Assembly 25717110 Used Working
 252.17  
Amat 0195-13833 Dual Axis Servo Drive
Amat 0195-13833 Dual Axis Servo Drive
 1 100.00  
ASML 4022.471.7496 Circuit Board PCB S31 Used Working
ASML 4022.471.7496 Circuit Board PCB S31 Used Working
 409.16  
Advantest BLC-029524 PCB Circuit Board M4542AD Used Working
Advantest BLC-029524 PCB Circuit Board M4542AD Used Working
 156.16  
ASML 8519993003 Perkin Elmer 851-9993-002 solenoid driver
ASML 8519993003 Perkin Elmer 851-9993-002 solenoid driver
 329.99  
ASML 85199930024 Perkin Elmer 851-9993-002A
ASML 85199930024 Perkin Elmer 851-9993-002A
 329.99  
Balzers BG 290 563 U Potentiometer Switch PCB Card BG290563-U Used Working
Balzers BG 290 563 U Potentiometer Switch PCB Card BG290563-U Used Working
 357.16  
System Pump Vacuum
System Pump Vacuum
 199.00  
AMAT 0040-09260 Gas Box  ASM  010-02333R  surplus inventory
AMAT 0040-09260 Gas Box  ASM  010-02333R  surplus inventory
 1 500.00  
Meiden ZN77Z Backplane Board PCB MU24A31231 SU22A32117 A SU18A30221 Used
Meiden ZN77Z Backplane Board PCB MU24A31231 SU22A32117 A SU18A30221 Used
 153.17  
Amat 3920-00515 Gripper Magnum Zaytran M030827l
Amat 3920-00515 Gripper Magnum Zaytran M030827l
 900.00  
TAC3P Valve  TAC3P / Toggle Manual Pneumatic Valve
TAC3P Valve  TAC3P / Toggle Manual Pneumatic Valve
 19.55  
AMAT 0200-40208  BAFFLE  Si
AMAT 0200-40208  BAFFLE  Si
 419.00  
SMC SR4010 Clean Regulator
SMC SR4010 Clean Regulator
 29.99  
Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. A Used Working
Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. A Used Working
 205.17  
TEL Tokyo Electron U1650B10 Backplane Interface Board PCB Used Working
TEL Tokyo Electron U1650B10 Backplane Interface Board PCB Used Working
 258.17  
FESTO DSR-10-180-P PNEUMATIC Semi Rotary Drive  USED
FESTO DSR-10-180-P PNEUMATIC Semi Rotary Drive  USED
 90.00  
National Instruments NuDrive 4SX-411 Motion Controller with 14 day warranty
National Instruments NuDrive 4SX-411 Motion Controller with 14 day warranty
 1 500.00  
Bes-4235 / 90s Pneumatic Pcb / Svg
Bes-4235 / 90s Pneumatic Pcb / Svg
 499.55  
AMAT 0190-19004 Malema MFC-8005-T2105-072-N-001 FLOW CONTROLLER  WORKING
AMAT 0190-19004 Malema MFC-8005-T2105-072-N-001 FLOW CONTROLLER  WORKING
 4 500.00  
FABCO-AIR FPS-1064/SVG#203-122 Pneumatic Cylinder The Pancake Line Used Working
FABCO-AIR FPS-1064/SVG#203-122 Pneumatic Cylinder The Pancake Line Used Working
 155.15  
Nikon Ceramic Load X TT Plate NSR System Used Working
Nikon Ceramic Load X TT Plate NSR System Used Working
 308.18  
Amat 0020-46851 Clamp Drive  6-port Spindle 200mm Mirra   Used
Amat 0020-46851 Clamp Drive  6-port Spindle 200mm Mirra   Used
 450.00  
Orion Etm-832a-dnf Pelthermo 3000w 1ph 208v Power Supply
Orion Etm-832a-dnf Pelthermo 3000w 1ph 208v Power Supply
 3 900.00  
Halstrup Walcher REG 21 Differential Pressure Transmitter Used Working
Halstrup Walcher REG 21 Differential Pressure Transmitter Used Working
 311.14  
Semifusion 160 Comparator Connector PCB Lot of 4 Ultratech 1000 Used Working
Semifusion 160 Comparator Connector PCB Lot of 4 Ultratech 1000 Used Working
 159.16  
Amat 3870-01256 Valve Blws Pneu 1-1/2in Nw-40b On Both Ports
Amat 3870-01256 Valve Blws Pneu 1-1/2in Nw-40b On Both Ports
 400.00  
Used Micro Industries 9700146-0001d Pc Board 97001460001d
Top-Rated Plus Seller Used Micro Industries 9700146-0001d Pc Board 97001460001d
 150.00  
Asymtek Needle Sensor Ns- Series
Asymtek Needle Sensor Ns- Series
 1 599.00  
Schlumberger Technologies 97851116 Gas Control PCB w/ P2X Daughterboard
Top-Rated Plus Seller Schlumberger Technologies 97851116 Gas Control PCB w/ P2X Daughterboard
 49.29  
Applied Materials 200mm Susceptor Assy. 0010-01398
Applied Materials 200mm Susceptor Assy. 0010-01398
 2 999.99  
Oriental Motor Vexta Fbld75a Brushless Dc Motor Driver    1e
Oriental Motor Vexta Fbld75a Brushless Dc Motor Driver 1e
 299.00  269.10  
45100652 / Regulator / Veriflo Valves Parker
45100652 / Regulator / Veriflo Valves Parker
 471.80  
3001107 / Lamp Field Top Complete White De / Mattson
3001107 / Lamp Field Top Complete White De / Mattson
 7 500.82  
Ebmpapst Axial Fan A20250-aa06-84
Ebmpapst Axial Fan A20250-aa06-84
 300.00  
G167227 Anelva H11-10417 Rack-Mount Process Controller
G167227 Anelva H11-10417 Rack-Mount Process Controller
 300.00  
Filter For STS
Filter For STS
 125.00  
ASML 8518470004 Perkin Elmer 851-8470-004A PCB A2701
ASML 8518470004 Perkin Elmer 851-8470-004A PCB A2701
 174.99  
Balzers BB 246 608X Bellow
Balzers BB 246 608X Bellow
 98.00  
AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working
AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working
 309.16  
SynOptics 920-368-A DC/DC PCB 480-335-A 75W Used Working
SynOptics 920-368-A DC/DC PCB 480-335-A 75W Used Working
 205.17  
ASML 4022.471.7041 S04 Serial Interface VME Card PCB 4022 471 70421 Used Working
ASML 4022.471.7041 S04 Serial Interface VME Card PCB 4022 471 70421 Used Working
 353.17  
SPAN Pressure Tranducer Model SPT-100  NEW
SPAN Pressure Tranducer Model SPT-100  NEW
 75.00  
VEM Te Target 52S0003 99.99% Pure
VEM Te Target 52S0003 99.99% Pure
 460.00  414.00  
NEW NUPRO Swagelok CleanRoom 1" Valve 6L-LDS-3DXP-Q N99946 FREE SHIPPING
NEW NUPRO Swagelok CleanRoom 1" Valve 6L-LDS-3DXP-Q N99946 FREE SHIPPING
 695.00  590.75  
Novellus Systems 90-2735 Interlock Board A2000 PCB Rev. B Lot of 4 Working
Novellus Systems 90-2735 Interlock Board A2000 PCB Rev. B Lot of 4 Working
 251.15  
Leybold TW 701 800051V0025 Turbo Pump
Leybold TW 701 800051V0025 Turbo Pump
 5 999.00  
Crossing Automation 3200-4489-01 Interface Board
Crossing Automation 3200-4489-01 Interface Board
 320.00  
5779  Meiden JZ91Z-11 Anti-Static Board
5779 Meiden JZ91Z-11 Anti-Static Board
 420.00  
Amat 0190-31158 Gt Side B 300mm Inoi-7134-32
Amat 0190-31158 Gt Side B 300mm Inoi-7134-32
 3 200.00  
Tazmo EOR05-7586B I/O Board
Tazmo EOR05-7586B I/O Board
 120.00  
GE/Fanuc Grouped Input Module IC670MDL240H
GE/Fanuc Grouped Input Module IC670MDL240H
 175.00  
Genus 34340-01 REV.2 PCA  HTR Current Rate of Rise
Top-Rated Plus Seller Genus 34340-01 REV.2 PCA  HTR Current Rate of Rise
 19.99  
VAT Valve 12" Opening
VAT Valve 12" Opening
 995.00  
Amat 0040-47028 & 0040-01618 & 0040-00796  Working
Amat 0040-47028 & 0040-01618 & 0040-00796  Working
 5 000.00  
Metal Flex Veeco 03025980000 Bellows Assembly  0302-59800-00
Metal Flex Veeco 03025980000 Bellows Assembly  0302-59800-00
 299.99  105.00  
500197917 Flow Regulator / FESTO
500197917 Flow Regulator / FESTO
 19.55  
Lot Of Mks Vacuum Fitting Flex Flange Parts Free Shipping
Lot Of Mks Vacuum Fitting Flex Flange Parts Free Shipping
 119.99  101.99  
134-0101// Amat Applied 0010-03486 0020-01999 0020-01996 Applied Matrials [asis]
134-0101// Amat Applied 0010-03486 0020-01999 0020-01996 Applied Matrials [asis]
 10 000.00  
2-39-55386 / Dns Sk-200  Ceramic Vacuumless Arm 200mm / Dns Dai Nippon
2-39-55386 / Dns Sk-200  Ceramic Vacuumless Arm 200mm / Dns Dai Nippon
 2 100.96  
Yamatake 408371-001 CPS Interconnect Board PCB Assembly 81408372-011-02 Used
Yamatake 408371-001 CPS Interconnect Board PCB Assembly 81408372-011-02 Used
 154.17  
Amat 0040-77461 Spindle W/ 0020-77230 Nut X2
Amat 0040-77461 Spindle W/ 0020-77230 Nut X2
 2 000.00  
Daymarc 91-5250-01 Circuit Board Assembly Sensor Distributor MR13476
Daymarc 91-5250-01 Circuit Board Assembly Sensor Distributor MR13476
 145.00  
Nikon NK2551 EPROM Receiver Board PCB NSR System Used Working
Nikon NK2551 EPROM Receiver Board PCB NSR System Used Working
 503.19  
115909 / Magniflow Motor  Pump  Centrifugal Bsm-4.2-30 / Celerity
115909 / Magniflow Motor  Pump  Centrifugal Bsm-4.2-30 / Celerity
 3 500.82  
ASML 854-8307-001D Circuit Board PCB A5402 Used Working
ASML 854-8307-001D Circuit Board PCB A5402 Used Working
 400.14  
0020-45231 / Disk Shutter / Amat
0020-45231 / Disk Shutter / Amat
 1 676.73  
2536951-21 / Pcb  Boat Rotation Interface / Asml
2536951-21 / Pcb  Boat Rotation Interface / Asml
 367.50  
Amat 0020-26312-05-34 Upper Shield
Amat 0020-26312-05-34 Upper Shield
 350.00  
Amat 3200-00028 Mini Dnet Backplane Assy
Amat 3200-00028 Mini Dnet Backplane Assy
 1 900.00  
Apw-199 Pilot Light
Apw-199 Pilot Light
 21.99  
Unisem UN9500A-R Dry Gas Scrubber/Abatement System
Unisem UN9500A-R Dry Gas Scrubber/Abatement System
 4 499.99  
SHINKO M164A OHT-SRVC2 JAPAN Board
SHINKO M164A OHT-SRVC2 JAPAN Board
 999.00  
Svg Station Cpu Bd 80166f
Svg Station Cpu Bd 80166f
 100.00  
TDK TAS-IN8 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working
TDK TAS-IN8 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working
 154.17  
Texas Instruments A/W 6458818A PWA Bench Evaluation Board SRC4194
Texas Instruments A/W 6458818A PWA Bench Evaluation Board SRC4194
 262.49  
141-0703// Amat Applied 0140-09056 (#1) Harness Airflow Interlk Used
141-0703// Amat Applied 0140-09056 (#1) Harness Airflow Interlk Used
 20.00  
ASML 4022.668 66071 Cable
ASML 4022.668 66071 Cable
 250.00  
Hughes WD5798-1 MotherBoard Defective AS-IS
Hughes WD5798-1 MotherBoard Defective AS-IS
 60.00  
45-1066 / Pump  Wilden Unitec Uu2 / Tencarva Machinery
45-1066 / Pump  Wilden Unitec Uu2 / Tencarva Machinery
 3 200.82  
Siemens 5SY42  MCB  C6  2 Pole Circuit Breaker  452112
Siemens 5SY42  MCB  C6  2 Pole Circuit Breaker  452112
 15.00  
NEW Thermo Vacuum Generators/ASM PN 6131050A P3000 MK2 Drive Assembly
NEW Thermo Vacuum Generators/ASM PN 6131050A P3000 MK2 Drive Assembly
 9 999.99  
Lab or Semiconductor Assembly Fixture 351-5094-040
Top-Rated Plus Seller Lab or Semiconductor Assembly Fixture 351-5094-040
 45.98  
4022.456.41432 Filter POU 5'' Assy
4022.456.41432 Filter POU 5'' Assy
 520.00  
Novellus Lot Of 10  34-00133-00 Sealant  Teflon  New Open Box
Top-Rated Plus Seller Novellus Lot Of 10  34-00133-00 Sealant  Teflon  New Open Box
 150.00  19.95  
*Parts/Repair* Wallac Interface Board DIC 1055 3760 D + *Fast Shipping*
*Parts/Repair* Wallac Interface Board DIC 1055 3760 D + *Fast Shipping*
 55.00  
4s019-126 / Wsdrvx4 Pcb / Nikon
4s019-126 / Wsdrvx4 Pcb / Nikon
 1 500.82  
ERCR-ND11-A000 Unrecognized Name / This Part Only by Part Number
ERCR-ND11-A000 Unrecognized Name / This Part Only by Part Number
 520.00  
RECIF Technologies ZS0000705-8Q ChemGuard Tool Interface Board PCB AP1555 Used
RECIF Technologies ZS0000705-8Q ChemGuard Tool Interface Board PCB AP1555 Used
 155.17  
Stratitec Time IPS152T Intelegent Personnel System Accessories New
Stratitec Time IPS152T Intelegent Personnel System Accessories New
 12.99  
Nikon 4S008-058 Interface Relay Board PCB ALGAF-TYUUKEI-V NSR-S205C Working
Nikon 4S008-058 Interface Relay Board PCB ALGAF-TYUUKEI-V NSR-S205C Working
 507.19  
Amat 0010-77680 Assy  Electronic Box  Srd  Lower   Used
Amat 0010-77680 Assy  Electronic Box  Srd  Lower   Used
 7 500.00  
141-0602// Amat Applied 0150-76818 Cable  Harness Mfc Chamber B Used
141-0602// Amat Applied 0150-76818 Cable  Harness Mfc Chamber B Used
 600.00  
Alumminum Metal Moldings
Alumminum Metal Moldings
 399.00  
Longford  M1002-7
Longford M1002-7
 140.00  
Fast Z Controller 001003 Rev A Ultrapointe Corp.
Fast Z Controller 001003 Rev A Ultrapointe Corp.
 250.00  
Nikon 4S013-189 LINK-RZ Interface Board PCB NSR System Used Working
Nikon 4S013-189 LINK-RZ Interface Board PCB NSR System Used Working
 503.18  
6MBI30F-060  Fuji Electric  30A 600V IGBT MODULE
6MBI30F-060  Fuji Electric  30A 600V IGBT MODULE
 210.99  
Von Ardenne Et2121427-0/2/pois124811 A0038728 A9d00106146 Insulator Washer
Von Ardenne Et2121427-0/2/pois124811 A0038728 A9d00106146 Insulator Washer
 85.00  
TEL Tokyo Electron 1D81-000104 Interface PCB Board TYB411-1/TC Used Working
TEL Tokyo Electron 1D81-000104 Interface PCB Board TYB411-1/TC Used Working
 200.00  
AMAT Window  Small Viewport   001-05553-303   300mm
AMAT Window  Small Viewport   001-05553-303   300mm
 120.00  
RIKEN KEIKI GD-F24 Tokyo Electron GAS EDTECTOR SENSOR  USED
RIKEN KEIKI GD-F24 Tokyo Electron GAS EDTECTOR SENSOR  USED
 650.00  
Tachibana Tectron Tvme 1004b Cpu Board
Tachibana Tectron Tvme 1004b Cpu Board
 399.00  
Sierratherm 5-48-00017 Rev A Overtemp Card with 30 day warranty
Sierratherm 5-48-00017 Rev A Overtemp Card with 30 day warranty
 239.00  
Muratec 3ASSYC804600 Processor Board PCB NBV-BC M161 Used Working
Muratec 3ASSYC804600 Processor Board PCB NBV-BC M161 Used Working
 502.19  
Matheson Gas Filter -- 6134-T6FF -- New
Matheson Gas Filter -- 6134-T6FF -- New
 225.00  
Amat Slurry A Unit For Malema Controller
Amat Slurry A Unit For Malema Controller
 3 800.00  
Parker FAFS-48-T  70938-65  Fluorotek Adapter  1/2 NPTF x 1/4. 416993
Parker FAFS-48-T  70938-65  Fluorotek Adapter  1/2 NPTF x 1/4. 416993
 50.00  
NEW ROHM AND HAAS DIAGRID-AD3BS-211250-3FN / 10331868 factory Sealed Free ship!!
Top-Rated Plus Seller NEW ROHM AND HAAS DIAGRID-AD3BS-211250-3FN / 10331868 factory Sealed Free ship!!
 194.95  136.47  
Little Giant 00121447 00097598 Z-world Davis CA USA
Little Giant 00121447 00097598 Z-world Davis CA USA
 175.00  
Schlumberger Technologies 97851131 Revision 2 Photomultiplier Module PCB Board
Schlumberger Technologies 97851131 Revision 2 Photomultiplier Module PCB Board
 49.29  
SPAN LR-050 Digital Indicator  NEW
SPAN LR-050 Digital Indicator  NEW
 89.00  
Edwards Freeze Fracturing and Etching Unit
Edwards Freeze Fracturing and Etching Unit
 100.00  
Tokyo Electron Board  TYB514-1/IO48/3D81-000097-V1 Used
Tokyo Electron Board TYB514-1/IO48/3D81-000097-V1 Used
 249.00  
Rohm Ihaas IC1000  PIPW  080  1010  SUBA IV Pad 20"/200mm Specific Gravity 0.809
Top-Rated Plus Seller Rohm Ihaas IC1000  PIPW  080  1010  SUBA IV Pad 20"/200mm Specific Gravity 0.809
 97.98  
143-0503// Amat Applied 0140-36461 Applied Matrials Components New
143-0503// Amat Applied 0140-36461 Applied Matrials Components New
 20.00  
177-0103// 54016255 Amat Veriflo 930 316l Vesp 125 Psi Max Valve [used]
177-0103// 54016255 Amat Veriflo 930 316l Vesp 125 Psi Max Valve [used]
 600.00  
Asymtek 210734 Rev.e
Asymtek 210734 Rev.e
 99.00  
Ksi 1981 Analog Control  Assy  1500005-rev. N  103842
Ksi 1981 Analog Control Assy  1500005-rev. N  103842
 350.00  
SMC Cylinder CDQ2WKB25-20DC CDQ2WKB2520DC Used
SMC Cylinder CDQ2WKB25-20DC CDQ2WKB2520DC Used
 36.60  
abx-x259 rev b eto
abx-x259 rev b eto
 699.99  
Hauppauge 4860
Hauppauge 4860
 2 000.00  
MKS T3BIB-32871 High-Speed Exhaust Throttle Valves
Top-Rated Plus Seller MKS T3BIB-32871 High-Speed Exhaust Throttle Valves
 900.00  
ASML 8519953002 Perkin Elmer 851-9953-002 PCB card mobot DMC
ASML 8519953002 Perkin Elmer 851-9953-002 PCB card mobot DMC
 319.99  
Brooks  SLA7950S MFC  Gas : AR  Rate : 200 Sccm
Brooks  SLA7950S MFC  Gas : AR  Rate : 200 Sccm
 200.00  
Amat 0010-19312 Gear Assembly (missing Seal Cover & Pulley)
Amat 0010-19312 Gear Assembly (missing Seal Cover & Pulley)
 2 900.00  
318-0302// Amat Applied 0010-10373 Hose Assembly Male  Q-disc 3/8 Used
318-0302// Amat Applied 0010-10373 Hose Assembly Male  Q-disc 3/8 Used
 100.00  
Omron F3SJ-A0905P20-D Safety Light Curtain  #11400
Omron F3SJ-A0905P20-D Safety Light Curtain #11400
 375.20  318.92  
ASML 854-8307-001B Circuit Board PCB A5402 Used Working
ASML 854-8307-001B Circuit Board PCB A5402 Used Working
 400.14  
Custom Green Tape Cutter
Custom Green Tape Cutter
 1 950.00  
M-610 / Pump-model 610  3/4 In Flare / Trebor
M-610 / Pump-model 610  3/4 In Flare / Trebor
 551.03  
Vmpu-4as Ac180v 250v Used Nemic Lamda
Vmpu-4as Ac180v 250v Used Nemic Lamda
 79.93  
Ati Technologies 102-31601-00 503151
Ati Technologies 102-31601-00 503151
 60.00  
Philips Analytical 4007 022 90042 CPU Board
Philips Analytical 4007 022 90042 CPU Board
 740.50  
Fujikin FCS-4WS-798-F160#B Mass Flow Controller MFC FCS Ar Nikon NSR Working
Fujikin FCS-4WS-798-F160#B Mass Flow Controller MFC FCS Ar Nikon NSR Working
 303.16  
317-0301// Amat Applied 0020-70284 (#1) Tube  Robot [asis]
317-0301// Amat Applied 0020-70284 (#1) Tube  Robot [asis]
 1 500.00  
Keyence Ap-31 Digital Pressure Sensor
Keyence Ap-31 Digital Pressure Sensor
 99.00  
Used Hitachi PCB Pair BGAI-I Warranty Fast Shipping
Used Hitachi PCB Pair BGAI-I Warranty Fast Shipping
 450.00  
AMAT Applied Materials 0100-00438 Interlock Personality Board PCB Used Working
AMAT Applied Materials 0100-00438 Interlock Personality Board PCB Used Working
 162.16  
129-0202// AMAT APPLIED 03-70056-00 w PCB  UNIVERSAL ADDRESS NEW
129-0202// AMAT APPLIED 03-70056-00 w PCB  UNIVERSAL ADDRESS NEW
 250.00  
Multiple Stainless Steel sample holder- 17"  3.5" Height  4" samples  A-2
Multiple Stainless Steel sample holder- 17"  3.5" Height  4" samples A-2
 125.00  
Air Products AP10204 Main Processor CPU PCB CRSD 1037 Working Surplus
Air Products AP10204 Main Processor CPU PCB CRSD 1037 Working Surplus
 461.17  
AMAT Applied Materials 0100-90178 Vacuum Control Feedback PCB Card Used Working
AMAT Applied Materials 0100-90178 Vacuum Control Feedback PCB Card Used Working
 312.11  
2940  Applied Materials P/N: 0020-63120REV001 Cover
2940 Applied Materials P/N: 0020-63120REV001 Cover
 210.00  
2807  Applied Materials 2104SD-ALO-007 Ring
2807 Applied Materials 2104SD-ALO-007 Ring
 450.00  
MSK Instruments  Inc 246B Power Supply Readout
MSK Instruments  Inc 246B Power Supply Readout
 60.00  
The Micromanipulator Co. 7000-SSA Base
Top-Rated Plus Seller The Micromanipulator Co. 7000-SSA Base
 79.98  
Tokyo Electron 2l80-001716-11 Heater Controller Box
Tokyo Electron 2l80-001716-11 Heater Controller Box
 3 500.00  
3674  Leybold Heraeus TURBOVAC 450 Turbomolecular Pump
3674 Leybold Heraeus TURBOVAC 450 Turbomolecular Pump
 2 500.00  
6067B Board  6067BC PBiS  /  Steag Ast Elektronik
6067B Board  6067BC PBiS / Steag Ast Elektronik
 250.00  
TLA Technology Servo Interface PCB Rev. A Used Working
TLA Technology Servo Interface PCB Rev. A Used Working
 360.11  
Applied Materials (AMAT) 0060-02045  REV 001
Applied Materials (AMAT) 0060-02045 REV 001
 200.00  
AUGUST TECHNOLOGY CORP. NSX System I/O PN 200482 Rev L AN 711713 Rev M
AUGUST TECHNOLOGY CORP. NSX System I/O PN 200482 Rev L AN 711713 Rev M
 559.00  
Patlite SEFBW-D Signal Tower .24V AC/DC 1.5W/1Stack + SEFW-D
Patlite SEFBW-D Signal Tower .24V AC/DC 1.5W/1Stack + SEFW-D
 149.00  
Tel Tokyo Electron 3d05-150050-v1 Shield Depo Inner-qz
Tel Tokyo Electron 3d05-150050-v1 Shield Depo Inner-qz
 350.00  
VAT Ring Compl PN 257469
VAT Ring Compl PN 257469
 36.00  
Applied Materials Precision Etch 8300 Schematics Manual Edition 3 May 1990
Top-Rated Plus Seller Applied Materials Precision Etch 8300 Schematics Manual Edition 3 May 1990
 49.98  
ASM Lithography  LEVEL SLAVE ACTUATOR ASSY SERV.502.28165
ASM Lithography LEVEL SLAVE ACTUATOR ASSY SERV.502.28165
 2 999.99  
Tylan FC-260 Mass Flow Controller PH2 1 SLPM MFC 424356
Tylan FC-260 Mass Flow Controller PH2 1 SLPM MFC 424356
 195.00  
Simco Delta Design Power Supply 112010 9249-1-Delta
Simco Delta Design Power Supply 112010 9249-1-Delta
 179.99  
Muller Martini Photo Sensor 4401.0032 0.1AMP 24VDC - Used *Please read*
Muller Martini Photo Sensor 4401.0032 0.1AMP 24VDC - Used *Please read*
 969.99  902.09  
Dry Pump Adixen AD63KH Booster only
Dry Pump Adixen AD63KH Booster only
 6 995.00  
Bellows;1917660 plunger Bellows
Bellows;1917660 plunger Bellows
 1 827.00  
Applied PERSONALITY BOARD ASSY 0660-01634 REV. A / Free Expedited Shipping
Applied PERSONALITY BOARD ASSY 0660-01634 REV. A / Free Expedited Shipping
 499.00  
177-0104// 944lswts4346applied Veriflo Valve [used]
177-0104// 944lswts4346applied Veriflo Valve [used]
 50.00  
Sheldon 1450 Lab Oven
Sheldon 1450 Lab Oven
 6 490.00  
141-0502// Amat Applied 0140-00511 Applied Matrials Components New
141-0502// Amat Applied 0140-00511 Applied Matrials Components New
 20.00  
Thyracont VSP63MA4 Vacuum Transducer
Thyracont VSP63MA4 Vacuum Transducer
 100.00  
ASML 4022.437.10233 Board AD
ASML 4022.437.10233 Board AD
 479.99  
Nemic Lambda LTX Trillium MBS-1330-33 Noise Filter
Nemic Lambda LTX Trillium MBS-1330-33 Noise Filter
 38.99  
Omron 3385815-3A Processor Board PCB PW(CPU) NSR-S205C Working Spare
Omron 3385815-3A Processor Board PCB PW(CPU) NSR-S205C Working Spare
 305.19  
Ke Kokusai Electric Cx1209 Cassette Loader T2dd1-15628-001 Cx1209p Control Panel
Ke Kokusai Electric Cx1209 Cassette Loader T2dd1-15628-001 Cx1209p Control Panel
 95.00  
0010-43023 CRESCENT ASSY  UD DESICA  300mm
0010-43023 CRESCENT ASSY  UD DESICA  300mm
 10 000.00  
Komatsu CADK00360 Processor Board PCB 300832000 Used Working
Komatsu CADK00360 Processor Board PCB 300832000 Used Working
 510.17  
Verteq Process Systems 1076349-1 Frequency Synthesizer PCB Card 1076022-1 Spare
Verteq Process Systems 1076349-1 Frequency Synthesizer PCB Card 1076022-1 Spare
 457.18  
Sr2984-001021-11 Ec49-000005-11/ Lcd Digital Electronics  /tokyo Electron
Sr2984-001021-11 Ec49-000005-11/ Lcd Digital Electronics /tokyo Electron
 1 799.99  
Asm 04-404548-01 Susceptor 300mm Capped
Asm 04-404548-01 Susceptor 300mm Capped
 2 507.18  
Applied Materials Quartz Insolator 0200-20054
Applied Materials Quartz Insolator 0200-20054
 999.99  
ASML 4022.668 72951 Cable
ASML 4022.668 72951 Cable
 250.00  
Amat 0010-09022 Slit Valve Assy
Amat 0010-09022 Slit Valve Assy
 1 500.00  
Disco FBPCB-0174 8-DO(COMM)_PCB
Disco FBPCB-0174 8-DO(COMM)_PCB
 169.00  
3161560 (lot Of 2) / Vacuum Setpoint / Btu Engineering - Bruce
3161560 (lot Of 2) / Vacuum Setpoint / Btu Engineering - Bruce
 210.68  
HMI F1 Deflection Driver 77-604-9102E0-001-1
Top-Rated Plus Seller HMI F1 Deflection Driver 77-604-9102E0-001-1
 749.99  
Brookfield RVDV-III+ Programmable Rheometer Viscometer with LV Spindle Set
Top-Rated Plus Seller Brookfield RVDV-III+ Programmable Rheometer Viscometer with LV Spindle Set
 1 495.00  1 420.25  
Brand New Eppendorf 9240551 230v Main PCB Board for 5810 Centrifuge - AP 196/98
Brand New Eppendorf 9240551 230v Main PCB Board for 5810 Centrifuge - AP 196/98
 400.00  
Nemic Lambda NNS30-5 Linear Power Supply   USED
Nemic Lambda NNS30-5 Linear Power Supply   USED
 99.00  
ASM 2602792-21 PWBA Dual Motor Drive
ASM 2602792-21 PWBA Dual Motor Drive
 407.18  
AMAT Applied Materials EMAX SLIT LINER EMAX KIT Manufacturer Refurbished
AMAT Applied Materials EMAX SLIT LINER EMAX KIT Manufacturer Refurbished
 408.18  
Amat 0021-77932 Bracket  E-chain Mnt  Follower
Amat 0021-77932 Bracket  E-chain Mnt  Follower
 350.00  
Daifuku AGH8AA10 Hand Held Controller RM/TFE/COV. MAINT. UNIT Used Working
Daifuku AGH8AA10 Hand Held Controller RM/TFE/COV. MAINT. UNIT Used Working
 362.11  
Matrix Integrated Systems 105 Asher Transport Interface P/n 1010-0019 Rev B
Top-Rated Plus Seller Matrix Integrated Systems 105 Asher Transport Interface P/n 1010-0019 Rev B
 650.00  520.00  
4384300 / Rotary Feedthru  / Applied  Material Amat
4384300 / Rotary Feedthru / Applied Material Amat
 1 350.68  
Thermalogic Corp. Power Board K121-180 REV.C working 718-562
Thermalogic Corp. Power Board K121-180 REV.C working 718-562
 301.13  
New Assembleon 5322 466 83072 Centering Block For Fcm-mf Fast Component Mounter
New Assembleon 5322 466 83072 Centering Block For Fcm-mf Fast Component Mounter
 100.00  
Agilient Z4207-60003 Circuit Board PCB Z4207 NC1 Used Working
Agilient Z4207-60003 Circuit Board PCB Z4207 NC1 Used Working
 609.16  
Novellus 15-119741-01 Cap Heated DFE Quartz GM Quartz 1649-119741 8 1/2" OD
Novellus 15-119741-01 Cap Heated DFE Quartz GM Quartz 1649-119741 8 1/2" OD
 250.00  
RadiSys EXP-MX PCB Assembly Used Working
RadiSys EXP-MX PCB Assembly Used Working
 308.13  
Motion Engineering 9701-1801-01  Pcb 1007-0085 Rev B2 Board
Motion Engineering 9701-1801-01 Pcb 1007-0085 Rev B2 Board
 450.00  
Nikon J2744BB Lens Assembly Used Working
Nikon J2744BB Lens Assembly Used Working
 355.12  
AMAT Applied Materials ENDPT. Interface/Smoother PCB  0100-00195
Top-Rated Plus Seller AMAT Applied Materials ENDPT. Interface/Smoother PCB  0100-00195
 325.00  260.00  
Asm 16-333699b01 Support-ext Arm-90 Deg Rot-rh
Asm 16-333699b01 Support-ext Arm-90 Deg Rot-rh
 307.18  
Tel Tokyo Electron U1650B10 Backplane Interface Board
Tel Tokyo Electron U1650B10 Backplane Interface Board
 26.85  
Adept Technologies T1 Pendant 10m 05215-010 Rev A with 14 day warranty
Adept Technologies T1 Pendant 10m 05215-010 Rev A with 14 day warranty
 1 250.00  
Millipore WGEN21CN1 Gen 2 Terminal Photoresist Pump Controller Used Working
Millipore WGEN21CN1 Gen 2 Terminal Photoresist Pump Controller Used Working
 408.18  
BTU Engineering 3160841V01 Rev 9/24 PCB Low Level Scan / Amp Board S/N 89/02/156
BTU Engineering 3160841V01 Rev 9/24 PCB Low Level Scan / Amp Board S/N 89/02/156
 112.49  
TEL Tokyo Electron T-3044SS PATLITE SIGNAL TOWER
TEL Tokyo Electron T-3044SS PATLITE SIGNAL TOWER
 140.00  
Disco / Lintec Silicon Rubber Roller  P/n Lnmm-610020-00  New Old Stock
Disco / Lintec Silicon Rubber Roller  P/n Lnmm-610020-00  New Old Stock
 130.00  
Artesyn 494000711 2-Slot CBVVI VME Interface Card PCB Used Working
Artesyn 494000711 2-Slot CBVVI VME Interface Card PCB Used Working
 410.13  
2981-600328-11 / Dc Branch Board / Tokyo Electron Tel
2981-600328-11 / Dc Branch Board / Tokyo Electron Tel
 240.68  
NEW 8250 ELS Arc Chamber 0897-0041-0003 Pinned Moly 42001L FREE SHIPPING
NEW 8250 ELS Arc Chamber 0897-0041-0003 Pinned Moly 42001L FREE SHIPPING
 1 200.00  1 020.00  
Contec Digital Input Card PI-64 Lot of 2 Used Working
Contec Digital Input Card PI-64 Lot of 2 Used Working
 405.12  
Mitsubishi FX1N-24MR-ESC/0L PLC Analog I/O Block Used Working
Mitsubishi FX1N-24MR-ESC/0L PLC Analog I/O Block Used Working
 356.12  
Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243 Used Working
Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243 Used Working
 504.17  
Hitachi BBS319-1 Interface Board PCB Used Working
Hitachi BBS319-1 Interface Board PCB Used Working
 409.12  
National Instruments 181555-01 Bus Expander Isolator Rev. B4 A50732 Used Working
National Instruments 181555-01 Bus Expander Isolator Rev. B4 A50732 Used Working
 306.12  
Hitachi ILD-02 Interface Board PCB Used Working
Hitachi ILD-02 Interface Board PCB Used Working
 308.13  
FAS Technologies TR39000F-FE-R Drawings Volume 1 Circa 1998
Top-Rated Plus Seller FAS Technologies TR39000F-FE-R Drawings Volume 1 Circa 1998
 39.98  
Hitachi BBDS-11 Connector Board PCB Lot of 2 Used Working
Hitachi BBDS-11 Connector Board PCB Lot of 2 Used Working
 308.13  
Philips Analytical DacQ PCB
Philips Analytical DacQ PCB
 650.00  
Semikron Semipack Skkt 106-16e
Semikron Semipack Skkt 106-16e
 250.00  
Watlow 032054501
Watlow 032054501
 299.00  
Drytek/Lam 384T External Keyboard Interface # 2800475A
Top-Rated Plus Seller Drytek/Lam 384T External Keyboard Interface # 2800475A
 175.00  
130-0303// AMAT APPLIED 0100-09146 wPCBA CENTERFINDER 5 6 8 USED
130-0303// AMAT APPLIED 0100-09146 wPCBA CENTERFINDER 5 6 8 USED
 400.00  
Dainippon Screen 2vc45347 Power Box
Dainippon Screen 2vc45347 Power Box
 449.95  
Amat 0020-79005 Shaft W/ 0020-79002 Arm X3
Amat 0020-79005 Shaft W/ 0020-79002 Arm X3
 1 300.00  
Unit Instruments  Model UFC 1260A  200 SCCM N2 MASS FLOW CONTROLLER
Unit Instruments  Model UFC 1260A  200 SCCM N2 MASS FLOW CONTROLLER
 60.00  
CFM Technologies 22024-02 Relay PCB Card B11/2 B11/3 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B11/2 B11/3 Lot of 2 Used Working
 308.13  
Eaton 544621 8-Port Serial Card 544611 PCB Board Used Working
Eaton 544621 8-Port Serial Card 544611 PCB Board Used Working
 160.16  
Lot of 4 Weidmüller  DRM270024L 24VDC 10A 7760056060 Relay w/ OMRON 2-M4x10
Lot of 4 Weidmüller DRM270024L 24VDC 10A 7760056060 Relay w/ OMRON 2-M4x10
 30.00  
Dasla Ds-23-01m40 Industrial Machine Camera With Iko Stage
Dasla Ds-23-01m40 Industrial Machine Camera With Iko Stage
 150.00  
SCP-Technologies 746-234-1D Interconnect PCB 171-511-1B Used Working
SCP-Technologies 746-234-1D Interconnect PCB 171-511-1B Used Working
 310.13  
Electroglas 100012 Setup & Auto SEQ Control PCB Card 100011C Used Working
Electroglas 100012 Setup & Auto SEQ Control PCB Card 100011C Used Working
 302.17  
Brooks Sla7950s Nh3 0.5slpm Mass Flow Controller  Used
Brooks Sla7950s Nh3 0.5slpm Mass Flow Controller  Used
 300.00  
Millipore CPOKN3489A Pendant Controller Used working
Millipore CPOKN3489A Pendant Controller Used working
 504.12  
SCP Global Technologies 40005728-00 Door Plenum Insert Front Access 9400 Used
SCP Global Technologies 40005728-00 Door Plenum Insert Front Access 9400 Used
 504.18  
7.01.129 / Cpu Processor Board Dpc / Tempress - General Signal
7.01.129 / Cpu Processor Board Dpc / Tempress - General Signal
 350.68  
Ct5085-412118-12 / Fork  (200) Assy Cha / Tokyo Electron Tel
Ct5085-412118-12 / Fork  (200) Assy Cha / Tokyo Electron Tel
 4 400.82  
Insulating Flange
Insulating Flange
 525.00  
Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C BMU Used Working
Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C BMU Used Working
 504.18  
ASM 02-146554-01 Gas Module Dolly New
ASM 02-146554-01 Gas Module Dolly New
 407.18  
AMAT Applied Materials 0200-08583 300mm PCII 2.0 Quartz Bushings Lot of 18 Used
AMAT Applied Materials 0200-08583 300mm PCII 2.0 Quartz Bushings Lot of 18 Used
 358.12  
Cylindrical Auger Electron Optics PHI 10-155
Cylindrical Auger Electron Optics PHI 10-155
 2 500.00  2 125.00  
LTX Credence 979-1300-10 Low Noise DMM Meas SDU SDU2 Card Plugin Module
LTX Credence 979-1300-10 Low Noise DMM Meas SDU SDU2 Card Plugin Module
 4 999.99  
Orbot Instruments 71051911-DD WFRCENTER Backplane PCB Board AMAT WF 720 Used
Orbot Instruments 71051911-DD WFRCENTER Backplane PCB Board AMAT WF 720 Used
 208.16  
Ultratech Stepper 03-15-02066 6-Axis Laser Transition XP-Axis PCB Card 4700 Used
Ultratech Stepper 03-15-02066 6-Axis Laser Transition XP-Axis PCB Card 4700 Used
 456.18  
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6 Used Working
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6 Used Working
 604.18  
Cincinnati Electrosystems Receiver Controller 9650-128 Used Working
Cincinnati Electrosystems Receiver Controller 9650-128 Used Working
 309.12  
Millipore Controller 233-1549-26 -- WCDS000F4 -- Used
Millipore Controller 233-1549-26 -- WCDS000F4 -- Used
 50.00  
CFM Technologies 22024-02 Relay Board B13/6 B13/7 Lot of 2 Used Working
CFM Technologies 22024-02 Relay Board B13/6 B13/7 Lot of 2 Used Working
 308.13  
Applied Materials Txz Chamber Kit
Applied Materials Txz Chamber Kit
 3 499.99  
Amat 0200-01173 Insulator Quartz 6'' Smf Pik
Amat 0200-01173 Insulator Quartz 6'' Smf Pik
 1 300.00  
800-245-6903 / Sensor: Model: 4001 / Parker
800-245-6903 / Sensor: Model: 4001 / Parker
 110.00  
AR10400-022AC Furon O-Ring
AR10400-022AC Furon O-Ring
 29.97  26.97  
CFM Technologies 22024-02 Relay PCB Card B11/4 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B11/4 Lot of 2 Used Working
 308.13  
64246-xe52-1108 / Motorized Gate Valve Vat Krlp / Vat
64246-xe52-1108 / Motorized Gate Valve Vat Krlp / Vat
 2 500.00  
TDK TAS-IN6 Backplane Interface Board PCB Rev. 1.10 TAS300 Load Port Used
TDK TAS-IN6 Backplane Interface Board PCB Rev. 1.10 TAS300 Load Port Used
 105.17  
View Engineering 2860294-501 Power Module Assembly 450-0077-004 Damaged Used
View Engineering 2860294-501 Power Module Assembly 450-0077-004 Damaged Used
 260.12  
Dehn Ev Tes Discharge Device Trumpf -- 465 KS10  758022 -- New
Dehn Ev Tes Discharge Device Trumpf -- 465 KS10  758022 -- New
 150.00  
CFM Technologies 22024-02 Relay PCB Card B11/14 B11/15 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B11/14 B11/15 Lot of 2 Used Working
 308.13  
AMAT Applied Materials 81-57228R Insulating Taper Flange Refurbished
AMAT Applied Materials 81-57228R Insulating Taper Flange Refurbished
 308.18  
Copley Controls Corp. 800-353 amplifier UIC p/n 46553301 repaired/tested
Copley Controls Corp. 800-353 amplifier UIC p/n 46553301 repaired/tested
 125.00  
Nikon 4S007-664 FIAAF Sensor Board PCB FIAAF-SENSOR-D NSR-S204B Used Working
Nikon 4S007-664 FIAAF Sensor Board PCB FIAAF-SENSOR-D NSR-S204B Used Working
 507.18  
Applied Materials/AMAT Cable (Part Number: 0130-07523 Rev. 004)
Applied Materials/AMAT Cable (Part Number: 0130-07523 Rev. 004)
 180.00  
Lot of 36 NEW 7411-PC 8433 Semiconductor Circuits
Top-Rated Plus Seller Lot of 36 NEW 7411-PC 8433 Semiconductor Circuits
 32.99  
ESI CKT 42419K module
ESI CKT 42419K module
 199.00  
CFM Technologies 22024-02 Relay PCB Card B11/12 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B11/12 Lot of 2 Used Working
 308.13  
Amat Ceramic Rest Button 02000-20315
Amat Ceramic Rest Button 02000-20315
 175.00  
Cosel Gt4 24v4a G Series Power Supply
Cosel Gt4 24v4a G Series Power Supply
 199.95  
Amat 0200-09319  Ring Outer 150mm Shadow Ring Bwcvd
Amat 0200-09319  Ring Outer 150mm Shadow Ring Bwcvd
 2 317.00  
Dynatronix 138-0205-01 FWD OUTPUT BD
Dynatronix 138-0205-01 FWD OUTPUT BD
 199.00  
Amat 0021-79552 Under Cover
Amat 0021-79552 Under Cover
 150.00  
Uniphase Laser  2202-5blt
Uniphase Laser 2202-5blt
 799.99  
Lambda 703-1047-01 Power Supply Used Working
Lambda 703-1047-01 Power Supply Used Working
 179.09  
318-0103// Jtbs-57-e634 Amat Turck Devicenet [used]
318-0103// Jtbs-57-e634 Amat Turck Devicenet [used]
 300.00  
Hitachi AI0-02N Analog Input Board M-712E Used Working
Hitachi AI0-02N Analog Input Board M-712E Used Working
 307.12  
Pneutronics 990-4765-003 Digital Module
Pneutronics 990-4765-003 Digital Module
 700.00  
Omron Amplifier Unit V640-ham11-v2
Omron Amplifier Unit V640-ham11-v2
 225.00  
Di024 / Pcb  Pci-di024 / Pci
Di024 / Pcb  Pci-di024 / Pci
 579.82  
Wotan Neust DC Output PCB Circuit Board 24V/0.2A Part# 028012-1027
Wotan Neust DC Output PCB Circuit Board 24V/0.2A Part# 028012-1027
 564.88  
Air Liquide Em 5000 Md Monitor /  Annunciator
Air Liquide Em 5000 Md Monitor / Annunciator
 150.00  
Rietschle Thomas A2688VEF22 Pneumatic Pump Used Working
Rietschle Thomas A2688VEF22 Pneumatic Pump Used Working
 307.16  
415-0101// Nsk Emb014cf1-04 (without Bolt) Driver [used/fast]
415-0101// Nsk Emb014cf1-04 (without Bolt) Driver [used/fast]
 1 000.00  
Fusion Uv Systems  Inc. Curing Light Power Supply Model P155
Fusion Uv Systems  Inc. Curing Light Power Supply Model P155
 650.00  
Used ASML 4022.436.33472 SEM-I-570=8F21 Control Box P-above  P-below  IAC
Used ASML 4022.436.33472 SEM-I-570=8F21 Control Box P-above  P-below  IAC
 199.99  139.99  
Dynatronix 138-0202-01 FWD REG BD 092094
Dynatronix 138-0202-01 FWD REG BD 092094
 299.00  
Celerity Fc-2979mep5-wm  1 Slpm Mfc
Celerity Fc-2979mep5-wm 1 Slpm Mfc
 149.95  
TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 F1
TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 F1
 300.00  
19-00125-00 / Pcb  Indexer Lift W/jump (slot 10) W/exchange / Novellus
19-00125-00 / Pcb  Indexer Lift W/jump (slot 10) W/exchange / Novellus
 2 495.00  
Power-One ABC2001012G Power supply
Power-One ABC2001012G Power supply
 99.00  
Schumacher 1730-3009 Rev G Control Board
Schumacher 1730-3009 Rev G Control Board
 320.95  
THK HSR15 Linear 50cm  USED
THK HSR15 Linear 50cm  USED
 100.00  
4550047 / Power Conditioner Reva2 Converted To 4550054 /teal Electronics Corp
4550047 / Power Conditioner Reva2 Converted To 4550054 /teal Electronics Corp
 995.26  
Control Technology Corporation Pcb 50-1042
Control Technology Corporation Pcb 50-1042
 60.00  
Amat 0010-25273 Fast Data Gateway Assembly
Amat 0010-25273 Fast Data Gateway Assembly
 3 900.00  
Drytek/Lam 384T Door Control Interface  P/N 2800464B  Assy # 2800465
Top-Rated Plus Seller Drytek/Lam 384T Door Control Interface  P/N 2800464B  Assy # 2800465
 175.00  140.00  
Hitachi BBET-11 Backplane Interconnect PCB Board Used Working
Hitachi BBET-11 Backplane Interconnect PCB Board Used Working
 208.13  
ASML Used  4022.637.96322 4022.636.35201 4022.636.35171 SEM-I-817=9G21
ASML Used 4022.637.96322 4022.636.35201 4022.636.35171 SEM-I-817=9G21
 299.90  
ASML PRODRIVE Used 4022.646.95972 6001-1237-6802 PSU SEM-I-582=9C19
ASML PRODRIVE Used 4022.646.95972 6001-1237-6802 PSU SEM-I-582=9C19
 299.90  
ASML Used 4022.666.41962  231412-09R SEM-I-829=9G22
ASML Used 4022.666.41962  231412-09R SEM-I-829=9G22
 399.90  
Kondoh Kohsya DM-007 Sensor Assembly LU-701 Used Working
Kondoh Kohsya DM-007 Sensor Assembly LU-701 Used Working
 308.12  
Entegris 704-28 Valve  2w Stack  3/8or 1/2ft   Used
Entegris 704-28 Valve  2w Stack  3/8or 1/2ft   Used
 500.00  
NEW ASM PN: 01-17431 "B" Rosemount Transducer Holder Assembly
NEW ASM PN: 01-17431 "B" Rosemount Transducer Holder Assembly
 249.99  
2938  Applied Materials P/N: 0040-07509 002
2938 Applied Materials P/N: 0040-07509 002
 60.00  
Amat 0040-77367 Mount Plate  Loadcup
Amat 0040-77367 Mount Plate  Loadcup
 500.00  
Smc Pneumatic Regulator  P/n It2031-n33  Nos
Top-Rated Plus Seller Smc Pneumatic Regulator  P/n It2031-n33  Nos
 279.00  223.20  
ASML 4022.471.4504 MSL LED Indicator Board PCB Card Used Working
ASML 4022.471.4504 MSL LED Indicator Board PCB Card Used Working
 506.17  
350-0203// Amat Applied 0020-30000 Clamp pin Adj  Wb [new]
350-0203// Amat Applied 0020-30000 Clamp pin Adj  Wb [new]
 30.00  
Welbilt FTG HOSE BARB 3/8H X 1/4NP 1132304
Top-Rated Plus Seller Welbilt FTG HOSE BARB 3/8H X 1/4NP 1132304
 78.27  
344-0203// Amat Applied 0040-76368 Manifold Short New
344-0203// Amat Applied 0040-76368 Manifold Short New
 600.00  
OMRON TDV-1 Twin Timer
OMRON TDV-1 Twin Timer
 124.99  
Hitachi AI0-02N Analog Input Board
Hitachi AI0-02N Analog Input Board
 299.00  
LTX Corporation 849-00064-00  LBD-0088 2 CABLE ASSEMBLY
LTX Corporation 849-00064-00 LBD-0088 2 CABLE ASSEMBLY
 450.00  
Applied Materials Amat 0090-06421 0090-07029 Controller
Applied Materials Amat 0090-06421 0090-07029 Controller
 380.00  
853-011117-004 Assy  Htr  Gap Adj Hsg  Lvd
853-011117-004 Assy  Htr  Gap Adj Hsg  Lvd
 399.00  
EECL123 P/N 768-5024 Board
EECL123 P/N 768-5024 Board
 399.90  
322-0303// Amat Applied 0020-10669 0020-31283 Port Vacuum wb [used]
322-0303// Amat Applied 0020-10669 0020-31283 Port Vacuum wb [used]
 800.00  
GENERAL MICRO SYSTEM GMSSRPX-01-B / GMSV36-01-D Card Module
Top-Rated Plus Seller GENERAL MICRO SYSTEM GMSSRPX-01-B / GMSV36-01-D Card Module
 149.99  
Nagano Keiki Digital Indicator GC84-ID9 Lot of 14 Used Working
Nagano Keiki Digital Indicator GC84-ID9 Lot of 14 Used Working
 308.12  
Philips Analytical CPU Board
Philips Analytical CPU Board
 875.00  
AdvancedTCA C68158-001 Base Fabric Blade MPCB0010SPP Used Working
AdvancedTCA C68158-001 Base Fabric Blade MPCB0010SPP Used Working
 407.13  
Acromag 822A-0200 2 units (1 lot) /  Free Expedited Shipping
Acromag 822A-0200 2 units (1 lot) / Free Expedited Shipping
 169.00  
CFM Technologies 22024-02 Relay PCB Card B13/0 B13/1 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B13/0 B13/1 Lot of 2 Used Working
 308.13  
Class 10 Technologies Inc. Model 76 Temperature Pc Board
Class 10 Technologies Inc. Model 76 Temperature Pc Board
 140.00  
MKS Type 254 Controller
MKS Type 254 Controller
 220.00  
Timbre Technologies Inc PAS-T3
Timbre Technologies Inc PAS-T3
 700.00  
Modus Instruments DA-4-05M-0-RR-14-003 Display Alarm Lot of 2 Used Working
Modus Instruments DA-4-05M-0-RR-14-003 Display Alarm Lot of 2 Used Working
 206.12  
Rietschle Thomas A2688VEF22-A02 Pneumatic Pump Used Working
Rietschle Thomas A2688VEF22-A02 Pneumatic Pump Used Working
 307.16  
AMAT Applied Materials 0040-07477 Enclosure CB Cleaner Panel 0180-00385 Used
AMAT Applied Materials 0040-07477 Enclosure CB Cleaner Panel 0180-00385 Used
 407.16  
RECIF Technologies MOBJH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
RECIF Technologies MOBJH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
 608.18  
Daifuku CVS-3247A Board
Daifuku CVS-3247A Board
 32.99  
Hitachi MTIF01 #2201 Interface Connection Board PCB I-900SRT Used Working
Hitachi MTIF01 #2201 Interface Connection Board PCB I-900SRT Used Working
 311.11  
9730) [used] Bruker Aqs Fcu4/2
9730) [used] Bruker Aqs Fcu4/2
 1 550.01  
AMAT Applied Materials 0100-00132 Rev. C Sync Detect PWB Card PCB Untested AS-IS
AMAT Applied Materials 0100-00132 Rev. C Sync Detect PWB Card PCB Untested AS-IS
 307.18  
ASML Used 4022.637.96332 4022.636.35211 4022.636.35181 SEM-I-819=9G21
ASML Used 4022.637.96332 4022.636.35211 4022.636.35181 SEM-I-819=9G21
 349.90  
ANELVA VACUUM  PP997761 Heater CONTROLLER  H11-15103 DC Power Unit
ANELVA VACUUM PP997761 Heater CONTROLLER H11-15103 DC Power Unit
 499.00  324.35  
Amat 0270- 20043 Rev 001
Amat 0270- 20043 Rev 001
 75.00  
Edwards  PV25mks (Part # C31315000)
Edwards PV25mks (Part # C31315000)
 230.00  
139-0301// Amat Applied 0190-41508 3155132-013 Navigator-3013 Advanced Rf Asis
139-0301// Amat Applied 0190-41508 3155132-013 Navigator-3013 Advanced Rf Asis
 3 000.00  
CDN491 Board
CDN491 Board
 409.00  
Tokyo Electron 3887-211246-11 Formula Assy  Htr Pwr_ctrl  Used
Tokyo Electron 3887-211246-11 Formula Assy  Htr Pwr_ctrl  Used
 3 500.00  
Tavis orp. P27 (VP) 0-10 Torr Pressure Transducer (10485-2) - KF16 Flange
Tavis orp. P27 (VP) 0-10 Torr Pressure Transducer (10485-2) - KF16 Flange
 100.00  
ASML Used 4022.472.47831 SEM-I-804=9G21
ASML Used 4022.472.47831 SEM-I-804=9G21
 249.90  
ASML Used 4022.480.69334 SEM-I-805=9G21
ASML Used 4022.480.69334 SEM-I-805=9G21
 199.90  
SVG Silicon Valley Group 99-80293-01 I/O Expansion/LCD Interface PCB Rev M Spare
SVG Silicon Valley Group 99-80293-01 I/O Expansion/LCD Interface PCB Rev M Spare
 311.09  
Lot of 5 Used MKS 121A-14303 FREE SHIPPING
Lot of 5 Used MKS 121A-14303 FREE SHIPPING
 299.99  254.99  
FGE-M439 Connector Motor / FGE-M439 / BB9 525 x 12
FGE-M439 Connector Motor / FGE-M439 / BB9 525 x 12
 35.00  
Nikon 4S013-419-CSTLNK2 Link Board PCB Used Working
Nikon 4S013-419-CSTLNK2 Link Board PCB Used Working
 405.12  
Approx 120 Molex 99024-0018 pieces new
Top-Rated Plus Seller Approx 120 Molex 99024-0018 pieces new
 64.08  
Dalsa TA-C1-04K30-50E Video Controller  Used Working
Dalsa TA-C1-04K30-50E Video Controller Used Working
 501.17  
333-0201// Amat Applied 0020-23621 Frame  Source G12 2nd Source New
333-0201// Amat Applied 0020-23621 Frame  Source G12 2nd Source New
 3 000.00  
879-0362-002/chuck  Qml/msxlod
879-0362-002/chuck  Qml/msxlod
 590.97  
Tylan MFC FC-2950  HCL 500Sccm  Refurbished with Certifications
Tylan MFC FC-2950  HCL 500Sccm  Refurbished with Certifications
 300.00  
Integrated Flow Systems SR4-120-MVC0-S32-35 Pressure Regulator  Inlet 45 PSIG
Integrated Flow Systems SR4-120-MVC0-S32-35 Pressure Regulator  Inlet 45 PSIG
 224.99  
Sgmph-01b1a41 / Ac Servo Motor / Yaskawa Electric
Sgmph-01b1a41 / Ac Servo Motor / Yaskawa Electric
 650.68  
USED Stainless Flask Vessel Semiconductor Assembly 0.635 MM FREE SHIPPING
USED Stainless Flask Vessel Semiconductor Assembly 0.635 MM FREE SHIPPING
 199.99  169.99  
KIKUSHI PMC250-0.25A / Free International Shipping
KIKUSHI PMC250-0.25A / Free International Shipping
 399.00  
2328  Lot of 2 Glemco Extraction Housings
2328 Lot of 2 Glemco Extraction Housings
 200.00  
Rofin Sinar 120300718-00005 ali3_v30.ddf Board tested
Rofin Sinar 120300718-00005 ali3_v30.ddf Board tested
 399.00  
Mitsubishi HC-MF23G1-UE Servo motor Takamura K9005 Gearhead Used
Mitsubishi HC-MF23G1-UE Servo motor Takamura K9005 Gearhead Used
 255.15  
Yaskawa Electric BM9420423 Interface Board PCB Nikon NSR System Used Working
Yaskawa Electric BM9420423 Interface Board PCB Nikon NSR System Used Working
 509.18  
Amat 0010-77774 Cda Regulator Assy  Uc Vac Control
Amat 0010-77774 Cda Regulator Assy  Uc Vac Control
 1 000.00  
FOUR AXIS Motion Controller Board P9680-R Rev.A  4001-01 Rev.B  Free shipping
FOUR AXIS Motion Controller Board P9680-R Rev.A 4001-01 Rev.B  Free shipping
 219.90  
Amat 0021-11057 Sst Seal Clamp  Head Assy  Pad Condition
Amat 0021-11057 Sst Seal Clamp  Head Assy  Pad Condition
 250.00  
FEI Company 150-002630 SEM Electrostatic Optics CLM Backplane PCB CLM-3D Used
FEI Company 150-002630 SEM Electrostatic Optics CLM Backplane PCB CLM-3D Used
 258.17  
ASM 4074515-0001 CPU Processor PCB Card MZ001E Untested AS-IS
ASM 4074515-0001 CPU Processor PCB Card MZ001E Untested AS-IS
 309.18  
DIP Proofing Tech 0K523A6-I Voltage Dip Proofing Inverter DPI Lot of 2 Used
DIP Proofing Tech 0K523A6-I Voltage Dip Proofing Inverter DPI Lot of 2 Used
 309.12  
Hirata HPC-531C Circuit Board
Top-Rated Plus Seller Hirata HPC-531C Circuit Board
 279.00  
Socapel 024.7040 Servo Drive EXT PCB Used Working
Socapel 024.7040 Servo Drive EXT PCB Used Working
 407.12  
Blm-023252x03 / Ctl 6541 Pcb / Advantest
Blm-023252x03 / Ctl 6541 Pcb / Advantest
 1 200.00  
Matrix Grabber Module Board 1610031-b 1610031b Mv-pci-srgb Sr027427715 Rev 3.01
Matrix Grabber Module Board 1610031-b 1610031b Mv-pci-srgb Sr027427715 Rev 3.01
 29.99  
Eaton Axcelis BEAM CURRENT INTRAGRATION PCB  0342-0408-4002
Top-Rated Plus Seller Eaton Axcelis BEAM CURRENT INTRAGRATION PCB  0342-0408-4002
 300.00  240.00  
4538  Applied Materials 0100-00195 Assy. End Point Interface/Smoother
4538 Applied Materials 0100-00195 Assy. End Point Interface/Smoother
 250.00  
Brooks Instrument Pcb Bm23475l06r
Brooks Instrument Pcb Bm23475l06r
 60.00  
AdvancedTCA D26196-003 Single Board Computer Card MPCBL0030 Used
AdvancedTCA D26196-003 Single Board Computer Card MPCBL0030 Used
 407.13  
Huntington A00-1549 Turbo Throttle Valve Used Working
Huntington A00-1549 Turbo Throttle Valve Used Working
 607.18  
Lep Ludi Pcb Mapper Z Axis 73000804 Mcmsel
Top-Rated Plus Seller Lep Ludi Pcb Mapper Z Axis 73000804 Mcmsel
 229.98  
Lep Ludi Pcb Mapper Z Axis 73000804 Mcmsel
Top-Rated Plus Seller Lep Ludi Pcb Mapper Z Axis 73000804 Mcmsel
 229.98  
Amat 0040-08918 Cover Top Pan  Meg Hvm W/ 0040-08917
Amat 0040-08918 Cover Top Pan  Meg Hvm W/ 0040-08917
 2 500.00  
Hitachi IOTU-02N Relay Interface Board PCB Used Working
Hitachi IOTU-02N Relay Interface Board PCB Used Working
 603.18  
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-D-C222 Used
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-D-C222 Used
 302.12  
^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf44)
Top-Rated Plus Seller ^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf44)
 100.00  75.00  
Fuji Electric Magnetic Contactor  SC N2 SE SC35BAS222
Fuji Electric Magnetic Contactor SC N2 SE SC35BAS222
 67.44  53.95  
ASML WSZSCB Used 4022.470.24273 SEM-I-867=9G22
ASML WSZSCB Used 4022.470.24273 SEM-I-867=9G22
 299.90  
ASM 4074515-0001 CPU Processor PCB Card 1068396.5 MZ002F Untested AS-IS
ASM 4074515-0001 CPU Processor PCB Card 1068396.5 MZ002F Untested AS-IS
 359.18  
KAIJO VME Board HCS-500 free ship
KAIJO VME Board HCS-500 free ship
 329.00  
Ceramaseal Ceramtec 19-pin Receptacle 18900-01-kf H80084 New 1890001kf
Ceramaseal Ceramtec 19-pin Receptacle 18900-01-kf H80084 New 1890001kf
 295.00  
Tenta HDFD-0850 Board AS00850
Tenta HDFD-0850 Board AS00850
 65.00  
ASML 4022.437.3013 Shutter Control Interface Card PCB Used Working
ASML 4022.437.3013 Shutter Control Interface Card PCB Used Working
 403.17  
Refurbed ASM 03-108401D01 DTC Processor PCB Board  Phoenix Digital 2004-0030-C
Refurbed ASM 03-108401D01 DTC Processor PCB Board  Phoenix Digital 2004-0030-C
 499.99  
91-01711a / Cover 200mm 1.2 Thick Quartz / West Coast Quartz
91-01711a / Cover 200mm 1.2 Thick Quartz / West Coast Quartz
 475.68  
Amat 0021-77570 Adapter  E-chain Series 380
Amat 0021-77570 Adapter  E-chain Series 380
 400.00  
Dytec Qdv-400-pvd-v Quick Dump Valve
Dytec Qdv-400-pvd-v Quick Dump Valve
 399.00  
ASML Used 4022.481.05767 SEM-I-758=9G21
ASML Used 4022.481.05767 SEM-I-758=9G21
 149.90  
ASML Used 4022.456.35831 Vacuum Sensor SEM-I-802=9G21
ASML Used 4022.456.35831 Vacuum Sensor SEM-I-802=9G21
 149.90  
ASML Used 4022.636.58061 SYSTEM HIGH VACUUM SENSOR SEM-I-748=9G21
ASML Used 4022.636.58061 SYSTEM HIGH VACUUM SENSOR SEM-I-748=9G21
 149.90  
Kitz Sct / Type D.a. Scv Bellows Valve Fkm-seat / Scv
Kitz Sct / Type D.a. Scv Bellows Valve Fkm-seat / Scv
 550.82  
Ultratech Stepper 03-15-02066 6-Axis Laser Transition X-Axis PCB Card 4700 Used
Ultratech Stepper 03-15-02066 6-Axis Laser Transition X-Axis PCB Card 4700 Used
 456.18  
LAM RESEARCH 853-203016T173 BOARD Used 1023220 810-028295-173
LAM RESEARCH 853-203016T173 BOARD Used 1023220 810-028295-173
 345.00  
Nikon 4s005-436 Nsr Pcb Stgsns-arx6
Nikon 4s005-436 Nsr Pcb Stgsns-arx6
 1 500.00  
Bir-027916 / Pps Board (5593) Pcb / Advantest
Bir-027916 / Pps Board (5593) Pcb / Advantest
 1 200.62  
ASML 858-8163-002A Board ASML Lithography Used Working
ASML 858-8163-002A Board ASML Lithography Used Working
 410.11  
C123587-Tylan-2900-Series-FC-2951MEP5-Mass-Flow-Control
C123587-Tylan-2900-Series-FC-2951MEP5-Mass-Flow-Control
 52.99  
2521008-01 / Assy Touchscreen / Asm America Inc
2521008-01 / Assy Touchscreen / Asm America Inc
 1 300.68  
Bgr-024443 / Subclk Gen Pcb / Advantest
Bgr-024443 / Subclk Gen Pcb / Advantest
 1 200.62  
Amat 0090-77160 Diw Movable Shield Front Sw
Amat 0090-77160 Diw Movable Shield Front Sw
 350.00  
Amat 0090-77165 Diw Movable Shield Back Sw
Amat 0090-77165 Diw Movable Shield Back Sw
 350.00  
AMAT Applied Material 0040-31996 Heater Assembly New Surplus
AMAT Applied Material 0040-31996 Heater Assembly New Surplus
 3 003.20  
Ferraz Shawmut Z330026 Protistor FUSE  660-690V AC  160A  LOT 2  USED
Ferraz Shawmut Z330026 Protistor FUSE  660-690V AC  160A  LOT 2  USED
 80.00  
Phasetronics P1050-x2-60 Power Control
Phasetronics P1050-x2-60 Power Control
 129.95  
324-0201// Amat Applied 0020-81547 Cap pressure 200 Mm. New
324-0201// Amat Applied 0020-81547 Cap pressure 200 Mm. New
 20.00  
KAIJO MAIN UNIT Teaching Pendant
KAIJO MAIN UNIT Teaching Pendant
 399.90  
Ultron UH-130 for 200mm hoops
Ultron UH-130 for 200mm hoops
 9 790.00  
4 Inch (working zone) semiXicon porous ceramic vacuum chuck table
4 Inch (working zone) semiXicon porous ceramic vacuum chuck table
 698.00  
Cymer S05-09005-05 Stabilization Module
Cymer S05-09005-05 Stabilization Module
 3 499.95  
NOS MDC Hose 441105-2000 .75" X .008" X 25" QF16/VCO
NOS MDC Hose 441105-2000 .75" X .008" X 25" QF16/VCO
 145.00  
1854  Material Research P/N: 115-03-050 ALUM Shld. Dark Space RMX-12  Al
1854 Material Research P/N: 115-03-050 ALUM Shld. Dark Space RMX-12  Al
 375.00  
Fujikin FCS-4WS-798-F30#B Mass Flow Controller 250kPaG
Fujikin FCS-4WS-798-F30#B Mass Flow Controller 250kPaG
 80.00  
Yaskawa Ercr-nd11-a000  Controller
Yaskawa Ercr-nd11-a000  Controller
 1 400.00  
Watkins Johnson 905536-001 PWB Status/Switch Interface
Watkins Johnson 905536-001 PWB Status/Switch Interface
 26.99  
LTX 865-1044-00 Synchro PSX MSS2 Power supply Rev. CC. Serial# 218072
LTX 865-1044-00 Synchro PSX MSS2 Power supply Rev. CC. Serial# 218072
 549.95  
JEL Jusung REA1001000000 Vacuum Process Interface Board PCB Untested AS-IS
JEL Jusung REA1001000000 Vacuum Process Interface Board PCB Untested AS-IS
 310.18  
MFCDNET2-CN/A0 D2E01503 Kokusai PCB
MFCDNET2-CN/A0 D2E01503 Kokusai PCB
 349.99  
Hitachi Tank6 Rinse Controller MU-712E Used Working
Hitachi Tank6 Rinse Controller MU-712E Used Working
 506.13  
Tel / Mrc L/a Align Fixture 200 Mm  Ecl  P/n A126934
Top-Rated Plus Seller Tel / Mrc L/a Align Fixture 200 Mm  Ecl  P/n A126934
 550.00  440.00  
Patlite SEFBW-D Signal Tower .24V AC/DC 1.5W/1Stack
Patlite SEFBW-D Signal Tower .24V AC/DC 1.5W/1Stack
 55.00  
200mm Silicon Top Ring Super E
200mm Silicon Top Ring Super E
 825.00  
Accel Implanter Control Panel AXDRC/21D
Accel Implanter Control Panel AXDRC/21D
 256.15  
Clamp Ring
Clamp Ring
 1 999.00  
TEL Tokyo Electron TCU TEMPERATURE CONTROL UNIT FOR TEL TRACK
TEL Tokyo Electron TCU TEMPERATURE CONTROL UNIT FOR TEL TRACK
 2 750.00  2 200.00  
Applied Materials (AMAT) 0021-27474 rev 001
Applied Materials (AMAT) 0021-27474 rev 001
 200.00  
Nikon PCB 4S020-023A Control 2 (4S020-023-A or 4S020-023 A)
Nikon PCB 4S020-023A Control 2 (4S020-023-A or 4S020-023 A)
 2 060.00  
Ludi Electronic 097593 Lep Daio 73000600 Module Card 6000017 1f
Top-Rated Plus Seller Ludi Electronic 097593 Lep Daio 73000600 Module Card 6000017 1f
 229.98  
LEP LUDI RS232 INT 73000400 Module Card
Top-Rated Plus Seller LEP LUDI RS232 INT 73000400 Module Card
 229.98  
LEP LUDI RS232 INT 73000400 Module Card
Top-Rated Plus Seller LEP LUDI RS232 INT 73000400 Module Card
 229.98  
General Micro System GMSSRPX-01-B / GMSV36-01-F Controller Card
Top-Rated Plus Seller General Micro System GMSSRPX-01-B / GMSV36-01-F Controller Card
 149.99  
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-G-1135 Used
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-G-1135 Used
 302.12  
4426  Applied Materials 0100-00015 Position Encoder Buffer
4426 Applied Materials 0100-00015 Position Encoder Buffer
 250.00  
Cimr-xcaa20p4 / Vs Mini  Inverter  Cimr-xcaa20p4 / Yaskawa Electric
Cimr-xcaa20p4 / Vs Mini  Inverter  Cimr-xcaa20p4 / Yaskawa Electric
 155.82  
RECIF Technologies IDLW8 Operator Panel Used Working
RECIF Technologies IDLW8 Operator Panel Used Working
 409.12  
Sharp D94013A 1/2 Processor Board PCB RUNTK5059JPZZ Used Working
Sharp D94013A 1/2 Processor Board PCB RUNTK5059JPZZ Used Working
 502.19  
Anelva 832-3094-05A Bellows Cylinder ø80 Lot of 2 Used Working
Anelva 832-3094-05A Bellows Cylinder ø80 Lot of 2 Used Working
 410.10  
Pearl Kogyo F-2000-0.1 Filter
Pearl Kogyo F-2000-0.1 Filter
 399.95  
eto abx-x252 rev d
eto abx-x252 rev d
 899.99  
Anorad 66571 Controller Backplane PCB Card AMAT Orbot WF 720 Used Working
Anorad 66571 Controller Backplane PCB Card AMAT Orbot WF 720 Used Working
 208.16  
Axcelis High Voltage Aperture-Graphite VIG Version 17089711 REV B (99016AV)
Axcelis High Voltage Aperture-Graphite VIG Version 17089711 REV B (99016AV)
 100.00  
Phase Metrics Board E040635000-1 Rev 4
Phase Metrics Board E040635000-1 Rev 4
 139.99  93.79  
Turck RSV RKV 5711-1M U7270 U-7270 Cordset
Top-Rated Plus Seller Turck RSV RKV 5711-1M U7270 U-7270 Cordset
 49.99  
Chamber Lid Simulator
Chamber Lid Simulator
 299.00  
Shinko Electric SCE93-100010-C1 Interface Board PCB SBX08-000033-12 Used Working
Shinko Electric SCE93-100010-C1 Interface Board PCB SBX08-000033-12 Used Working
 503.18  
Regal Joint Flow Sensor Type Fs10s
Regal Joint Flow Sensor Type Fs10s
 99.98  
102534-000 / Pcb Bd  Bus Controller  102533-000 / Micro Control Company
102534-000 / Pcb Bd  Bus Controller  102533-000 / Micro Control Company
 175.68  
5331  Applied Materials Versacontroller 7801-D-1222 (678667) DI Board (Sink)
5331 Applied Materials Versacontroller 7801-D-1222 (678667) DI Board (Sink)
 600.00  
ASML FCBA Used 4022.654.06521 SEM-I-309=6B57
ASML FCBA Used 4022.654.06521 SEM-I-309=6B57
 399.90  
PREMIUM Mod:0636 Power supply 480W USED 0636 4950 4022.476.01282 SEM-I-449=9A38
PREMIUM Mod:0636 Power supply 480W USED 0636 4950 4022.476.01282 SEM-I-449=9A38
 299.90  
ASML Used 4022.486.19532  ABR UNIT AT/XT SEM-I-572=9A38
ASML Used 4022.486.19532 ABR UNIT AT/XT SEM-I-572=9A38
 349.90  
ASML Used 4022.472.27805 CCMGP SEM-I-614=7B13
ASML Used 4022.472.27805 CCMGP SEM-I-614=7B13
 299.90  
Asml Used 4022.470.71494 Sem-i-448=9a38
Asml Used 4022.470.71494 Sem-i-448=9a38
 399.90  
Teravicta R-CSP8-01 PCB
Teravicta R-CSP8-01 PCB
 499.00  
CLT10 Control Tester  CLT10 / Control Unit / Rev HA01 / 220V 0.015A / 110V 0.63A
CLT10 Control Tester  CLT10 / Control Unit / Rev HA01 / 220V 0.015A / 110V 0.63A
 450.00  
318-0103// Amat Applied 0020-63713 Applied Matrials 0020-63714 0020-19150 [used]
318-0103// Amat Applied 0020-63713 Applied Matrials 0020-63714 0020-19150 [used]
 200.00  
5202  Meidensha Meiden UAO24/754Z uPIBOC-I
5202 Meidensha Meiden UAO24/754Z uPIBOC-I
 2 300.00  
Gcg2951-s8td92 Brushless Motor 85w Ac115v  Used
Gcg2951-s8td92 Brushless Motor 85w Ac115v  Used
 400.00  
ASML Used 4022.656.52013 SEM-I-752=9G21
ASML Used 4022.656.52013 SEM-I-752=9G21
 299.90  
Applied Precision 21-000317-001 Processor Interface Board PCB Used Working
Applied Precision 21-000317-001 Processor Interface Board PCB Used Working
 507.18  
Philips Analytical PCB 400702290042
Philips Analytical PCB 400702290042
 800.00  
Anelva A12-09242-04A Bellows Cylinder 80x70 ST Lot of 2 Used Working
Anelva A12-09242-04A Bellows Cylinder 80x70 ST Lot of 2 Used Working
 410.10  
Profort PVME-501 IAE-21949  / Free Expedited Shipping
Profort PVME-501 IAE-21949 / Free Expedited Shipping
 629.00  
325-0201// Amat Applied 0225-15085 Mfc Kit  Unit 1260a  H2 500sccm 10ra [asis]
325-0201// Amat Applied 0225-15085 Mfc Kit  Unit 1260a  H2 500sccm 10ra [asis]
 300.00  
Werth Messtechnik LSC1 00/1207/1
Werth Messtechnik LSC1 00/1207/1
 349.00  
Hitachi 9K1038H Recorder AC 100 V9 60Hz PBP-3201-22 M-511E Used Working
Hitachi 9K1038H Recorder AC 100 V9 60Hz PBP-3201-22 M-511E Used Working
 505.16  
Micrion Model 150-1110 Rev A2  Board
Micrion Model 150-1110 Rev A2 Board
 120.00  
Micrion Model 150-1090  Rev C5 Board
Micrion Model 150-1090 Rev C5 Board
 120.00  
Kuhnke 657.442.02 D/A E973202121 71.657.442.01
Top-Rated Plus Seller Kuhnke 657.442.02 D/A E973202121 71.657.442.01
 149.98  
Micrion Model 150-892 Board Rev D4
Micrion Model 150-892 Board Rev D4
 120.00  
Meiden UP006/001A Battery Unit Card MDTE-PU4057-3964(3) Used Working
Meiden UP006/001A Battery Unit Card MDTE-PU4057-3964(3) Used Working
 153.17  
Novell 38-134677-00  38-134670-00 Cable Sensing Water Leak 50ft  Adptr Modu  New
Novell 38-134677-00  38-134670-00 Cable Sensing Water Leak 50ft  Adptr Modu  New
 200.00  
Kuhnke 657.442.02 D/A 71.657.442.01
Top-Rated Plus Seller Kuhnke 657.442.02 D/A 71.657.442.01
 149.98  
Matrix 303 Etcher
Matrix 303 Etcher
 24 500.00  
Xalyo Systems Card H055000-000-A00 5500-000 XS-155-5 2006-078.5 2008W41 XS-155
Xalyo Systems Card H055000-000-A00 5500-000 XS-155-5 2006-078.5 2008W41 XS-155
 399.95  
^^ INFICON VGC501 Single Channel Vacuum Pressure Gauge Controller  (CW3)
Top-Rated Plus Seller ^^ INFICON VGC501 Single Channel Vacuum Pressure Gauge Controller (CW3)
 850.00  637.50  
IGC Polycold T1102-03-000-30 CryoTiger Compressor Cryogenic Refrigeration TESTED
IGC Polycold T1102-03-000-30 CryoTiger Compressor Cryogenic Refrigeration TESTED
 1 999.94  1 599.95  
Orbotech camera w/ Lens Schneider-Kreuznach
Orbotech camera w/ Lens Schneider-Kreuznach
 189.00  
Vat 0520-00131  Vat Motor  Dps  Actr Stepper Motor For Vat Series
Vat 0520-00131  Vat Motor  Dps Actr Stepper Motor For Vat Series
 6 480.00  
Nikon 4S013-225-1A Backplane Interface Board PCB SPAPWT NSR-S202A Used Working
Nikon 4S013-225-1A Backplane Interface Board PCB SPAPWT NSR-S202A Used Working
 704.18  
Mykrolis 40-04425 Rev. B Sub-assembly Board
Mykrolis 40-04425 Rev. B Sub-assembly Board
 299.95  
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
 3 500.00  
Balzers BG 290 339 U Transformer PCB Card BG290 339-U Used Working
Balzers BG 290 339 U Transformer PCB Card BG290 339-U Used Working
 507.16  
Amat 0020-34694 Liner  Gdp  R2 Oxidegeco   Refurbished
Amat 0020-34694 Liner  Gdp  R2 Oxidegeco   Refurbished
 4 500.00  
Keyence Sj-b101 Corporation   Used
Keyence Sj-b101 Corporation   Used
 129.99  
Yamamoto Electric Emt1b0fvd Diffential Pressure Transmitter
Yamamoto Electric Emt1b0fvd Diffential Pressure Transmitter
 120.07  
Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR System Used Working
Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR System Used Working
 1 008.18  
Amat 0021-12412 Base  Inner  4 Roller Assembly  200 Mm
Amat 0021-12412 Base  Inner  4 Roller Assembly  200 Mm
 2 500.00  
Amat 0010-77651 Rinse Arm Extend W/nozzle
Amat 0010-77651 Rinse Arm Extend W/nozzle
 4 900.00  
Xalyo Systems Card H055000-000-A00 5500-000 XS-155-5 2006-078.5
Xalyo Systems Card H055000-000-A00 5500-000 XS-155-5 2006-078.5
 399.95  
VEECO SLCZ Laser Module. used469
VEECO SLCZ Laser Module. used469
 1 337.00  
4423  Applied Materials 0100-00035 PWB  MANO Range Select
4423 Applied Materials 0100-00035 PWB  MANO Range Select
 250.00  
Asml Used 4022.451.8773.1  4022.635.25015 Sem-i-451=2m24
Asml Used 4022.451.8773.1  4022.635.25015 Sem-i-451=2m24
 199.90  
Asml Used 4022.451.8773.1  4022.635.56585 Sem-i-461=2m24
Asml Used 4022.451.8773.1  4022.635.56585 Sem-i-461=2m24
 199.90  
ASML Mirror Used 4022.454.54832 SEM-I-517=2M24
ASML Mirror Used 4022.454.54832 SEM-I-517=2M24
 199.90  
Asml Used 4022.481.43797 4022.451.8773.1 Sem-i-454=2m24
Asml Used 4022.481.43797 4022.451.8773.1 Sem-i-454=2m24
 199.90  
ASML Used 4022.451.8773.1 4022.481.43795 SEM-I-613=7B13
ASML Used 4022.451.8773.1 4022.481.43795 SEM-I-613=7B13
 199.90  
AMAT Applied Materials 0100-00132 Rev. D Sync Detect PWB Card PCB Untested AS-IS
AMAT Applied Materials 0100-00132 Rev. D Sync Detect PWB Card PCB Untested AS-IS
 307.18  
Axcelis Technologies 544621 8-Port Serial Interface PCB Card 544611 Used Working
Axcelis Technologies 544621 8-Port Serial Interface PCB Card 544611 Used Working
 153.18  
Micro Controller 92-023394-01b Acr8010 U13 1.18.12
Micro Controller 92-023394-01b Acr8010 U13 1.18.12
 19.50  
Mrch16x200-1005w / Air Cylinder Rodless  236640 / Koganei
Mrch16x200-1005w / Air Cylinder Rodless  236640 / Koganei
 660.82  
Kuhnke 657.442.02 D/A E971100576 71.657.442.01
Top-Rated Plus Seller Kuhnke 657.442.02 D/A E971100576 71.657.442.01
 149.98  
MAXON DC MOTOR 2260.889-54.216-200 & 2260.815-50.216-208 for  philips PW2400
MAXON DC MOTOR 2260.889-54.216-200 & 2260.815-50.216-208 for philips PW2400
 300.00  
003104 / Interface Board Dpc  5 22 131 R / Tempress - General Signal
003104 / Interface Board Dpc  5 22 131 R / Tempress - General Signal
 210.82  
Philips 4022 332 76161/86161 module
Philips 4022 332 76161/86161 module
 45.00  
MKS TL5827 IP Stepper Test Box
Top-Rated Plus Seller MKS TL5827 IP Stepper Test Box
 249.99  
Amat 0020-09033  Insulating Flange
Amat 0020-09033  Insulating Flange
 2 151.00  
Comark P/I-P2BVLL SBC Single Board Computer
Comark P/I-P2BVLL SBC Single Board Computer
 799.99  399.99  
Siemens I-T-E Sentron Series Circuit Breaker
Siemens I-T-E Sentron Series Circuit Breaker
 150.00  
Tachikawa TC-Converter TC-2000K Lot of 3 Used Working
Tachikawa TC-Converter TC-2000K Lot of 3 Used Working
 303.12  
4022.439.77671 o-ring  FPM 51414  7.65X1.78
4022.439.77671 o-ring  FPM 51414 7.65X1.78
 35.00  
Hitachi RYX-1 Time Delay Relay PCB Circuit Board Used Working
Hitachi RYX-1 Time Delay Relay PCB Circuit Board Used Working
 606.16  
177-0404// Amat Applied 0051-01471 Applied Matrials Components [used]
177-0404// Amat Applied 0051-01471 Applied Matrials Components [used]
 300.00  
177-0404// Amat Applied 0050-88508 Applied Matrials Components [used]
177-0404// Amat Applied 0050-88508 Applied Matrials Components [used]
 300.00  
Engelhardt GmbH MSM023 Control Board Module 0008015277
Top-Rated Plus Seller Engelhardt GmbH MSM023 Control Board Module 0008015277
 199.98  
Heraeus 63338 Quartz Cap Cover PROCACCEF/HP 1105-300683-12 Ser# HC-565636-04
Heraeus 63338 Quartz Cap Cover PROCACCEF/HP 1105-300683-12 Ser# HC-565636-04
 659.20  
Fusion SEMI 288861 Unicassette System Interconnect Board PCB Rev. A Used Working
Fusion SEMI 288861 Unicassette System Interconnect Board PCB Rev. A Used Working
 503.18  
Tazmo EOR05-3456 Board
Tazmo EOR05-3456 Board
 90.00  
NEW Pentagon Technologies 033676704 A Headway PVD Shield
NEW Pentagon Technologies 033676704 A Headway PVD Shield
 299.97  
ASML 4022.436.87951 Module 113173993 Used USA^6697
ASML 4022.436.87951 Module 113173993 Used USA^6697
 129.00  
JEL Jusung REA100970000 Vacuum Process Interface Board PCB Untested AS-IS
JEL Jusung REA100970000 Vacuum Process Interface Board PCB Untested AS-IS
 260.18  
JEL Jusung REA100980000 Vacuum Process Interface Board PCB Untested AS-IS
JEL Jusung REA100980000 Vacuum Process Interface Board PCB Untested AS-IS
 310.18  
JEL Jusung REA100990000 Vacuum Process Interface Board PCB Untested AS-IS
JEL Jusung REA100990000 Vacuum Process Interface Board PCB Untested AS-IS
 310.18  
125-0301// Amat Applied 0020-10120 Plate Perf 100-150mm S/o New
125-0301// Amat Applied 0020-10120 Plate Perf 100-150mm S/o New
 1 600.00  
Amat 0010-22490 Assembly  Fast Ediagnostic System Interface  5.3 Fi
Amat 0010-22490 Assembly  Fast Ediagnostic System Interface  5.3 Fi
 3 900.00  
Amat 0010-17535 Fast Dateeway Assembly
Amat 0010-17535 Fast Dateeway Assembly
 3 900.00  
839-055401-001 / Valve  Normally Closed  P316  Aov 505 / Parker
839-055401-001 / Valve  Normally Closed  P316  Aov 505 / Parker
 134.68  
Tylan Model Fc-2901mep Mass Flow Controller  200 Sccm  Sf6
Top-Rated Plus Seller Tylan Model Fc-2901mep Mass Flow Controller  200 Sccm  Sf6
 275.00  220.00  
8250 ARC CH SIDE LINER NO VAP MOLY (42021A) New
8250 ARC CH SIDE LINER NO VAP MOLY (42021A) New
 55.00  
010-0102// Pearl Kogyo Rp-1000-13m Generator [asis]
010-0102// Pearl Kogyo Rp-1000-13m Generator [asis]
 4 000.00  
Nikon 4S018-649 Interface Relay Board PCB LINK-RX2 Used Working
Nikon 4S018-649 Interface Relay Board PCB LINK-RX2 Used Working
 503.18  
5449  TDK 4S598-827 Power Supply
5449 TDK 4S598-827 Power Supply
 1 900.00  
Vi-pu22-eyy / Flatpac  Voltage 100-120-200-240  03000505141416 / Vicor
Vi-pu22-eyy / Flatpac  Voltage 100-120-200-240  03000505141416 / Vicor
 76.68  
021468-01 / Ade Corp Gage Interface Board / Ade
021468-01 / Ade Corp Gage Interface Board / Ade
 800.00  
Amat 0010-07353 Brus Bar Assembly  New
Amat 0010-07353 Brus Bar Assembly  New
 3 600.00  
5440  SW Box/Ion SRC-HF 03257667-01 Cable
5440 SW Box/Ion SRC-HF 03257667-01 Cable
 800.00  
426-0501// Sankyo Op3000 Touch Screen [used/fast]
426-0501// Sankyo Op3000 Touch Screen [used/fast]
 500.00  
Biq-021583 / Pds Board / Advantest
Biq-021583 / Pds Board / Advantest
 189.68  
Tegal 80-500-002 Photocell 250V 55K - Lot of 2
Tegal 80-500-002 Photocell 250V 55K - Lot of 2
 50.00  
Dymatrix Avpv3 1f19if1
Dymatrix Avpv3 1f19if1
 115.00  
Ion Systems 6441 desktop Ionizer Re-manufactured!
Ion Systems 6441 desktop Ionizer Re-manufactured!
 79.00  
Proteus 98004PN1P1 Liquid Flow Meter 5 VDC=1.0 GPM
Proteus 98004PN1P1 Liquid Flow Meter 5 VDC=1.0 GPM
 89.00  
Sierra Instruments 741-2 Exhaust Flow Controller  0-25 SCFM
Sierra Instruments 741-2 Exhaust Flow Controller  0-25 SCFM
 154.99  
M25r / Maxim 25r Chemical Pump / Trebor
M25r / Maxim 25r Chemical Pump / Trebor
 2 250.00  
139-0501// Amat Applied 0190-41508-001 3155132-013 Navigator-3013 Advanced Asis
139-0501// Amat Applied 0190-41508-001 3155132-013 Navigator-3013 Advanced Asis
 3 000.00  
Vicor  St3-1323 Mini Starkpac
Vicor St3-1323 Mini Starkpac
 249.99  164.99  
Alcatel 27778 Control and Sensor Assembly Used Working
Alcatel 27778 Control and Sensor Assembly Used Working
 1 000.00  
ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581 Used Working
ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581 Used Working
 506.17  
ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531 Used Working
ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531 Used Working
 506.17  
Xenon 4.2 Lamp Housing
Xenon 4.2 Lamp Housing
 648.00  
Fbpcb-0173 /    Pcb  8-di  Comm (disco) / Disco
Fbpcb-0173 / Pcb  8-di  Comm (disco) / Disco
 175.99  
Msd023a1xx / Ac Servo Driver Phase Encoder: 2500p/r / Panasonic
Msd023a1xx / Ac Servo Driver Phase Encoder: 2500p/r / Panasonic
 450.00  
02-800170-01 Liebert Emerson Printed Circuit Board PCB --SA
02-800170-01 Liebert Emerson Printed Circuit Board PCB --SA
 75.21  
Hitachi Tank2 Rinse Controller MU-712E Used Working
Hitachi Tank2 Rinse Controller MU-712E Used Working
 506.13  
Orbotech Inc. P/N 0345632A UV Marker  P3 W6675 Cable Assembly
Orbotech Inc. P/N 0345632A UV Marker  P3 W6675 Cable Assembly
 14.99  
TURCK VB 1003-*-BSMK 14/S653/S1057 3410M Applied Materials 0090-03017
Top-Rated Plus Seller TURCK VB 1003-*-BSMK 14/S653/S1057 3410M Applied Materials 0090-03017
 89.99  
8297 Applied Material Cable  0150-76461
8297 Applied Material Cable  0150-76461
 500.00  
ASML Used 4022.486.18113 GAS OUTPUT SENSOR SEM-I-742=9G21
ASML Used 4022.486.18113 GAS OUTPUT SENSOR SEM-I-742=9G21
 149.90  
MFC  Brooks GF125CXXC-SH467.2L-CXVODX-0990AX-000  HE  5000 SCCM
MFC  Brooks GF125CXXC-SH467.2L-CXVODX-0990AX-000  HE  5000 SCCM
 1 200.00  
Nikon4425i Reticle Blind
Nikon4425i Reticle Blind
 10 000.00  
10001 / I-o 22 Controller / Novus
10001 / I-o 22 Controller / Novus
 245.82  
SMC CDM2UZ25-D9034 Cylinder  Lift Assy  450456
SMC CDM2UZ25-D9034 Cylinder  Lift Assy  450456
 150.00  
VTEX  CX12-0000007-V2 V146252 rollcam JAPAN
VTEX CX12-0000007-V2 V146252 rollcam JAPAN
 950.00  
Hitachi K8-022604-24 Gas Flow Control Box AMAT P/N: 0190-33364
Hitachi K8-022604-24 Gas Flow Control Box AMAT P/N: 0190-33364
 199.95  
Power PCB Board 28v24vcom 24v 28v Power Outputs Lot of 15 boards
Power PCB Board 28v24vcom 24v 28v Power Outputs Lot of 15 boards
 100.19  
Modus Instruments DA-4-08M-0-RRRF Display Alarm Used Working
Modus Instruments DA-4-08M-0-RRRF Display Alarm Used Working
 153.12  
Amat Optical Lense 35410/294 Left
Amat Optical Lense 35410/294 Left
 599.99  
Applied Motion Products HT17-068 DC 4V E48-09 w/aluminum bracket
Applied Motion Products HT17-068 DC 4V E48-09 w/aluminum bracket
 19.99  
Ultratech Plate Cleaner 603 High Pressure 5" Spin cleaner
Ultratech Plate Cleaner 603 High Pressure 5" Spin cleaner
 3 850.00  
177-0203// 54015604 Amat Nupro 930 316l Vesp 125 Psi Max Valve [used]
177-0203// 54015604 Amat Nupro 930 316l Vesp 125 Psi Max Valve [used]
 300.00  
351-0501// 415786r8-xa-lid1b Applied Matrials Components [used]
351-0501// 415786r8-xa-lid1b Applied Matrials Components [used]
 200.00  
TEL Tokyo Electron 1B80-001529-11 Module Board PCB 3482944-0A-A Used Working
TEL Tokyo Electron 1B80-001529-11 Module Board PCB 3482944-0A-A Used Working
 503.18  
Delta Flo EH-8N-323/020048-A Supplementary Heater  230V DUCT HEATER
Delta Flo EH-8N-323/020048-A Supplementary Heater  230V DUCT HEATER
 275.00  
Denton Dv-502a Vacuum Cold Sputter Coater Module Sputtering System (#3545)
Denton Dv-502a Vacuum Cold Sputter Coater Module Sputtering System (#3545)
 2 500.00  1 875.00  
SCP 1100 Quick Dump Rinser Contol Panel 3260671 L
Top-Rated Plus Seller SCP 1100 Quick Dump Rinser Contol Panel 3260671 L
 195.98  
Cmmd-as-c8-3a / Power Supply  Festo  561406 / Festo
Cmmd-as-c8-3a / Power Supply  Festo  561406 / Festo
 195.82  
Hitachi 3-824791 GV1 Cover New
Hitachi 3-824791 GV1 Cover New
 200.08  
miControl mcDSA-E2 Servo Amplifier Motor Controller 1210366
miControl mcDSA-E2 Servo Amplifier Motor Controller 1210366
 85.00  
Applied Photophysics Safe Start Lamp Igniter (Not Tested)
Applied Photophysics Safe Start Lamp Igniter (Not Tested)
 45.00  
010-0202// ADVANCED 0190-19022W 3156110-005 A APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022W 3156110-005 A APEX 1513 [Warranty 1 month]
 3 500.00  
010-0202// ADVANCED 0920-00114 (#3) 3156110-007 J APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#3) 3156110-007 J APEX 1513 [Warranty 1 month]
 3 500.00  
010-0202// ADVANCED 0190-19022 (#1) 3156110-005 A APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#1) 3156110-005 A APEX 1513 [Warranty 1 month]
 3 500.00  
Abb Eh270 Contactor Amat  B-33
Abb Eh270 Contactor Amat B-33
 175.00  
Hitachi 3-08520489 200mm Mesh Plate New
Hitachi 3-08520489 200mm Mesh Plate New
 211.08  
Amat 0020-78417 Mount Pad W/ 0020-79012
Amat 0020-78417 Mount Pad W/ 0020-79012
 800.00  
Tray  Ptf Teach Comp 47295801
Tray  Ptf Teach Comp 47295801
 299.00  
Applied Materials AMAT TC Cable  0140-01813
Applied Materials AMAT TC Cable  0140-01813
 315.00  
010-0102// Pearl Kogyo Rp-1000-13m (t02) Generator [asis]
010-0102// Pearl Kogyo Rp-1000-13m (t02) Generator [asis]
 3 000.00  
Hine Design Robot Prealinger
Hine Design Robot Prealinger
 2 500.00  
Ohkura AI/AO HMSU248I A02 / Free Expedited Shipping
Ohkura AI/AO HMSU248I A02 / Free Expedited Shipping
 590.00  
PALITE TOWER LIGHT MES-A 24Vdc .1W WATT 1 STACK MESA
PALITE TOWER LIGHT MES-A 24Vdc .1W WATT 1 STACK MESA
 22.50  
Nikon A-D  converter PCB  30149-1
Nikon A-D converter PCB 30149-1
 100.00  
343-0401// Amat Applied 0020-33343 Cup  Clamp Screw [2nd Source New]
343-0401// Amat Applied 0020-33343 Cup  Clamp Screw [2nd Source New]
 10.00  
Emp-c-26-90 / Mesh  Semi-circle / Zmisc
Emp-c-26-90 / Mesh  Semi-circle / Zmisc
 45.82  
Hasetec Cu95u7z3fa (ttls) Tel Formula Lpcvd 300mm Thyristor Control Unit
Hasetec Cu95u7z3fa (ttls) Tel Formula Lpcvd 300mm Thyristor Control Unit
 3 500.00  
Elymat Ii / Metallic Contamination Diagnostic Tool 115vac 50-60hz2a3.15a / Geme.
Elymat Ii / Metallic Contamination Diagnostic Tool 115vac 50-60hz2a3.15a / Geme.
 6 500.00  
Applied Materials AMAT 0051-01138 0051 FC1 PTFE Tube Fitting Flare 301057
Applied Materials AMAT 0051-01138 0051 FC1 PTFE Tube Fitting Flare 301057
 99.99  
Amat 0010-14246 Assy  100-500cc Single Channel  Slurry D  New
Amat 0010-14246 Assy  100-500cc Single Channel  Slurry D  New
 6 400.00  
Stratitec Time IPS Magnetic Strip Reader New CBMSR01
Stratitec Time IPS Magnetic Strip Reader New CBMSR01
 17.00  
Pentagon Technologies 233-5052-99 Holder Cover Anelva PVD System New
Pentagon Technologies 233-5052-99 Holder Cover Anelva PVD System New
 204.10  
RSF Electronics Z525
RSF Electronics Z525
 354.99  
0015-09091 Assy.  Lamp Driver ASSY TESTED
0015-09091 Assy.  Lamp Driver ASSY TESTED
 980.00  
110-0201// Advanced 3152436-102v Pinnacle Used
110-0201// Advanced 3152436-102v Pinnacle Used
 10 000.00  
Horiba STEC Criterion D219-SCT Mass Flow Controller NF3 500SCCM Devicenet
Top-Rated Plus Seller Horiba STEC Criterion D219-SCT Mass Flow Controller NF3 500SCCM Devicenet
 99.99  
Bm301770000 / Module Interface Module I/o Assy / Brooks Instruments
Bm301770000 / Module Interface Module I/o Assy / Brooks Instruments
 321.97  
Phasetronics P1050-50 Power Control System
Phasetronics P1050-50 Power Control System
 249.99  
HP4062 Semiconductor Process Control System
HP4062 Semiconductor Process Control System
 27 000.00  
AMAT Applied Materials 0150-21032 Mainframe Cable Convenience Outlet New
AMAT Applied Materials 0150-21032 Mainframe Cable Convenience Outlet New
 508.18  
Boc Edwards D37212202
Boc Edwards D37212202
 400.00  
Nikon 4S013-477 Backplane Interface Board PCB STGSTCMSCRFX4B NSR-S307E Used
Nikon 4S013-477 Backplane Interface Board PCB STGSTCMSCRFX4B NSR-S307E Used
 1 207.18  845.03  
Ohaus CQ100L33 Scale 100 X 0.02 Kg  250 X 0.05
Top-Rated Plus Seller Ohaus CQ100L33 Scale 100 X 0.02 Kg  250 X 0.05
 299.99  
Lattice ispLSI 2128 80LQ A825T02 Surplus spares parts in stock
Lattice ispLSI 2128 80LQ A825T02 Surplus spares parts in stock
 125.00  
Muto Technology MR-23431 SS Plenum Shield
Muto Technology MR-23431 SS Plenum Shield
 306.18  
Thermco Model 600059-00 Analog Input3 Zone Board
Thermco Model 600059-00 Analog Input3 Zone Board
 120.00  
SCI Solid Controls 428-4001 Firing Controller PCB Card 428-399 8024-0137 Used
SCI Solid Controls 428-4001 Firing Controller PCB Card 428-399 8024-0137 Used
 806.18  
Locomotive Retrofit Kit 2816995
Locomotive Retrofit Kit 2816995
 299.99  
Motor 2 Power Connector Transducer 35'
Motor 2 Power Connector Transducer 35'
 87.00  
Robitech 990-9168 Transducer Board PCB 859-0944-002 Rev. B Used Working
Robitech 990-9168 Transducer Board PCB 859-0944-002 Rev. B Used Working
 302.12  
TOYO Corporation MAIN BOARD A040-0278-10 Rev. B  / Free Expedited Shipping
TOYO Corporation MAIN BOARD A040-0278-10 Rev. B / Free Expedited Shipping
 999.00  
Tegal 99-237-002 Interface Board PCB EEP-2 Untested AS-IS
Tegal 99-237-002 Interface Board PCB EEP-2 Untested AS-IS
 205.18  
AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm Used
AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm Used
 3 005.14  
Pneutronics 990-004765-011 Digital Flow Control Module
Pneutronics 990-004765-011 Digital Flow Control Module
 950.00  
Pneutronics 990-004765-015 Digital Flow Control Module
Pneutronics 990-004765-015 Digital Flow Control Module
 950.00  
Lds1c-d / Linear Motion Driver  Motor  Lds1c-d / Oriental Motor
Lds1c-d / Linear Motion Driver  Motor  Lds1c-d / Oriental Motor
 195.68  
3161521(lot Of 2) / Pcb Board analog I-o Microprocessor 200-61-706 / Btu Engine.
3161521(lot Of 2) / Pcb Board analog I-o Microprocessor 200-61-706 / Btu Engine.
 215.68  
EIT 4554 Sensor Stik Gas Transmitter Type 4500 096-1087L New Surplus
EIT 4554 Sensor Stik Gas Transmitter Type 4500 096-1087L New Surplus
 251.12  
SMC MDUB63-E4126-200 Air Cylinder Hitachi 3-839272-^A New
SMC MDUB63-E4126-200 Air Cylinder Hitachi 3-839272-^A New
 200.08  
ASM 2301555-01 2635054-01 TCU Pump Assembly 230V 8A 60Hz
ASM 2301555-01 2635054-01 TCU Pump Assembly 230V 8A 60Hz
 1 600.00  
Sokki Electronics DR100 Digital Reader Positioning system Unused 4858
Sokki Electronics DR100 Digital Reader Positioning system Unused 4858
 139.00  
Celerity TN2979 FC-2979MEP5-WM Gas 02 Range 1.5 SLPM Mass Flow Controller
Celerity TN2979 FC-2979MEP5-WM Gas 02 Range 1.5 SLPM Mass Flow Controller
 149.00  
2328  Lot of 2 Glemco Source Cans
2328 Lot of 2 Glemco Source Cans
 250.00  
A1sy41 / Output Unit  A1sy41  Control / Mitsubishi
A1sy41 / Output Unit  A1sy41  Control / Mitsubishi
 332.82  
ROTEC VISION COMPACT VC1 21-CZAA-0006 free ship
ROTEC VISION COMPACT VC1 21-CZAA-0006 free ship
 659.00  
Mks T3bi T3bi-27242-jsp027-93 Control Valve
Mks T3bi T3bi-27242-jsp027-93 Control Valve
 799.95  
Tem Tech Hyptfv-420p1 Flow Sensors  Used
Tem Tech Hyptfv-420p1 Flow Sensors  Used
 60.00  
MKS 109070029CE Analog Convection Transducer Vacuum Sensor
MKS 109070029CE Analog Convection Transducer Vacuum Sensor
 99.00  
Newport Lab Vacuum Collars
Newport Lab Vacuum Collars
 80.00  
Philips Power Supply PCB PE 1912/00 U
Philips Power Supply PCB PE 1912/00 U
 174.99  117.24  
Daifuku LDS-2691B Processor Interface Board PCB Used Working
Daifuku LDS-2691B Processor Interface Board PCB Used Working
 1 008.18  
9x2 Inch Susceptor  Silica With SiC Coating  One Side Only  Base And Cover
9x2 Inch Susceptor  Silica With SiC Coating  One Side Only  Base And Cover
 9 500.00  
Applied Materials AMAT KEYENCE Photoelectric Sensor  PS-49  1400-01256
Applied Materials AMAT KEYENCE Photoelectric Sensor  PS-49  1400-01256
 185.00  
ESI 164300 REV. A for ESI 9850 system  /  Free Expedited Shipping
ESI 164300 REV. A for ESI 9850 system / Free Expedited Shipping
 890.00  
Dns 10'' Track Covers
Dns 10'' Track Covers
 399.00  
DNS 23''x 9'' TRIM COVERS
DNS 23''x 9'' TRIM COVERS
 399.00  
Edwards  A52844410 Smart Interface Flash Module
Edwards A52844410 Smart Interface Flash Module
 650.00  
NTE Electronics 501-0001 POTENTIOMETER 2 WATT 50 OHM 1/4" DIAMETER SHAFT
NTE Electronics 501-0001 POTENTIOMETER 2 WATT 50 OHM 1/4" DIAMETER SHAFT
 15.98  
Disco FBPCB-0281 & FBPCB-0084 board
Disco FBPCB-0281 & FBPCB-0084 board
 629.00  
Dynatronix 138-1203-02 Timing Board PCB TIMING BRD Untested AS-IS
Dynatronix 138-1203-02 Timing Board PCB TIMING BRD Untested AS-IS
 310.18  
ASM 232720091.11 D/A Connector Board  PCB  632720091.00  Farmon ID 408868
ASM 232720091.11 D/A Connector Board  PCB  632720091.00  Farmon ID 408868
 350.00  
Lynn Electrode L147-G263SFG Showerhead New
Lynn Electrode L147-G263SFG Showerhead New
 250.00  
MDC 665209 - QuickDoor  10" ViewPort QD-1000-VP
MDC 665209 - QuickDoor  10" ViewPort QD-1000-VP
 1 199.99  
Philips 5322 694 15307  FF SUPPLY CARD
Philips 5322 694 15307 FF SUPPLY CARD
 250.00  
Amat Mirra Hclu Edge Contact ( Development Part )
Amat Mirra Hclu Edge Contact ( Development Part )
 6 800.00  
Tegal 40-046-001 Quartz Window Rev. D - Lot of 2
Tegal 40-046-001 Quartz Window Rev. D - Lot of 2
 60.00  
Air Products Gasguard 287-606310 Analog/Switch Input Interface Box
Air Products Gasguard 287-606310 Analog/Switch Input Interface Box
 29.99  
Power Supply 215KD048K  450469
Power Supply 215KD048K  450469
 150.00  
Eci Technology Turntable
Eci Technology Turntable
 900.00  
Anorad D39012b Assy: 62541 I/o Master Ii Interface Board
Top-Rated Plus Seller Anorad D39012b Assy: 62541 I/o Master Ii Interface Board
 2 299.99  
TKSCT CORPORATION 316L EP 10K 1/2 O.P. 0.4~0.6Mpa  USED
TKSCT CORPORATION 316L EP 10K 1/2 O.P. 0.4~0.6Mpa  USED
 80.00  
Nikon 4S013-535 Interface Board PCB STGVMERST-IF 4S063-067 NSR-S620D Used
Nikon 4S013-535 Interface Board PCB STGVMERST-IF 4S063-067 NSR-S620D Used
 1 402.19  981.53  
olympus dv152200-2
olympus dv152200-2
 99.99  
Silicon Thermal Powercool LB300 Thermal Controller  Scalable Up to 500 Watts
Top-Rated Plus Seller Silicon Thermal Powercool LB300 Thermal Controller  Scalable Up to 500 Watts
 179.99  
Matheson Gas Filter -- 6164-V4MM -- New
Matheson Gas Filter -- 6164-V4MM -- New
 100.00  
Melec EAUA-087800 SP-382-6 Control Board Fast Shipping!
Melec EAUA-087800 SP-382-6 Control Board Fast Shipping!
 510.00  
Sumitomo X159d21001 Linear Motor Driver X159drv Nikon Nsr
Sumitomo X159d21001 Linear Motor Driver X159drv Nikon Nsr
 3 500.00  
0303-112004 / Interface Board / Kos System
0303-112004 / Interface Board / Kos System
 205.82  
RVSI 68410 rev C  71010 rev. E
RVSI 68410 rev C 71010 rev. E
 209.00  
Dynatronix 138-1202-115 Process Board PCB REG BRD Untested AS-IS
Dynatronix 138-1202-115 Process Board PCB REG BRD Untested AS-IS
 310.18  
Es1805-320102-12  S-4270  / Ring Cover Exh / Tel
Es1805-320102-12 S-4270 / Ring Cover Exh / Tel
 650.00  
API-Deltran 24VDC TBR-50A 05/28/97 Brake Assembly
API-Deltran 24VDC TBR-50A 05/28/97 Brake Assembly
 49.95  29.97  
Fujikin FCS-4WS-798-F200#B Mass Flow Controller 250kPaG
Fujikin FCS-4WS-798-F200#B Mass Flow Controller 250kPaG
 80.00  
Keystone Resilient Seat Butterfly Valve- Pneumatic Actuator- K-switch-21"(#3579)
Keystone Resilient Seat Butterfly Valve- Pneumatic Actuator- K-switch-21"(#3579)
 2 000.00  1 500.00  
Kulicke & Soffa Automatic Bonder CONTROL & DETECTOR set of 3
Kulicke & Soffa Automatic Bonder CONTROL & DETECTOR set of 3
 400.00  
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D + 00784 SLAVE VME Rev D
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D + 00784 SLAVE VME Rev D
 499.99  249.99  
Fei Tool  Test  100x55mm  Stage 22670
Fei Tool  Test  100x55mm  Stage 22670
 499.99  249.99  
2330  Edwards P/N: A55429500 Thermal Processing Unit (TPU-4) Service Module
2330 Edwards P/N: A55429500 Thermal Processing Unit (TPU-4) Service Module
 2 000.00  
14inch Perkin-Elmer 4430 Moly Pallet 12x3inch pockets
14inch Perkin-Elmer 4430 Moly Pallet 12x3inch pockets
 500.00  
Ultratech stepper 03-20-04940 5 Axis stage Ash PCB + 00784 SLAVE VME Rev C
Ultratech stepper 03-20-04940 5 Axis stage Ash PCB + 00784 SLAVE VME Rev C
 399.99  199.99  
Nikon 4S013-478 Backplane Interface Board PCB STGSTCWYWXX4B NSR-S307E Used
Nikon 4S013-478 Backplane Interface Board PCB STGSTCWYWXX4B NSR-S307E Used
 1 207.18  845.03  
Ulvac DTC-21K Single Phase Pump
Ulvac DTC-21K Single Phase Pump
 199.95  
Balzers Tmu065 Turbo Pump
Balzers Tmu065 Turbo Pump
 599.99  
322-0501// Amat Applied 0020-20521 Insulator 2nd Source New
322-0501// Amat Applied 0020-20521 Insulator 2nd Source New
 60.00  
999-9999// Amat Applied 0010-20000 (delivery 42 Days) Assy Pwr Supply 15v
999-9999// Amat Applied 0010-20000 (delivery 42 Days) Assy Pwr Supply 15v
 14 000.00  
Omicron SPM_REGULATOR  V3R7
Omicron SPM_REGULATOR V3R7
 329.00  
MRC Materials Research D127146-062S DARK SPACE SHIELD
Top-Rated Plus Seller MRC Materials Research D127146-062S DARK SPACE SHIELD
 650.00  520.00  
Novellus 680228-00 Cable  406487
Novellus 680228-00 Cable  406487
 350.00  
Used SCREEN Control Board PC-97040A  HLS-MC1A (wrs)
Used SCREEN Control Board PC-97040A  HLS-MC1A (wrs)
 199.99  
Olympus Objective MPLAP01.25X
Olympus Objective MPLAP01.25X
 600.00  
Applied Materials 3700-01220  O-ring   100 at lot of 22
Applied Materials 3700-01220  O-ring   100 at lot of 22
 100.00  
AMAT Applied Materials 0100-09137 Encoder Interface Board
AMAT Applied Materials 0100-09137 Encoder Interface Board
 349.99  
Fei Reticle 23602
Fei Reticle 23602
 4 500.00  
New Mks 872b-24147  Pn: 872b24147
New Mks 872b-24147 Pn: 872b24147
 1 900.00  
TeleFrank 013501-186-27 Interface Connector  USED
TeleFrank 013501-186-27 Interface Connector  USED
 100.00  
F ER MOOSER AG FW5 FFS 1.2048 Made in Switzerland Power Supply
F ER MOOSER AG FW5 FFS 1.2048 Made in Switzerland Power Supply
 49.99  
Wyes 26-300AP Isolating Transformer 50/60Hz   USED
Wyes 26-300AP Isolating Transformer 50/60Hz   USED
 50.00  
2856972-11 / Asm Dpc Controller / Asm America Inc
2856972-11 / Asm Dpc Controller / Asm America Inc
 1 310.99  
TEL 381-639332-4 Map Terminal Board
TEL 381-639332-4 Map Terminal Board
 249.99  
TEL 1381-650509-11 Elevator Connection Board #2  MDK-794V-0
TEL 1381-650509-11 Elevator Connection Board #2  MDK-794V-0
 299.99  
AMAT 0100-00086 PCB Centerfinder Sensor 412555
AMAT 0100-00086 PCB Centerfinder Sensor 412555
 350.00  
Nikon 4S025-357-1 Interface Board PCB NSR System Used Working
Nikon 4S025-357-1 Interface Board PCB NSR System Used Working
 1 008.18  
Kithley Parallel Digital I/o Pcb. Model# Pio-96j 14332 Rev A. Pc9792
Kithley Parallel Digital I/o Pcb. Model# Pio-96j 14332 Rev A. Pc9792
 149.00  
Arc - Action Research Corporation 150
Arc - Action Research Corporation 150
 250.00  
2057  Linear Amplifier  P/N: AV-1008-BPL
2057 Linear Amplifier P/N: AV-1008-BPL
 350.00  
Wasco P110-55W3A/6438 Pressure Switch
Wasco P110-55W3A/6438 Pressure Switch
 22.99  
Novellus 03-028661-03  A0P4  Cable 402556
Novellus 03-028661-03  A0P4  Cable 402556
 350.00  
0010-19517 Chemical Delution Assy  Megasonics Module 300mm
0010-19517 Chemical Delution Assy  Megasonics Module 300mm
 1 900.00  
0040-03142   0010-06510/ Chamber Body / Amat
0040-03142   0010-06510/ Chamber Body / Amat
 19 500.97  
PDC-150-029 Rev. E (LTX 876-1382-00 Rev. EA)PCB(Printed circuit board)
PDC-150-029 Rev. E (LTX 876-1382-00 Rev. EA)PCB(Printed circuit board)
 179.95  
PDC-150-033 Rev. D (LTX 876-1386-00 Rev. DA)PCB(Printed circuit board)
PDC-150-033 Rev. D (LTX 876-1386-00 Rev. DA)PCB(Printed circuit board)
 179.95  
PDC-150-031 (LTX 876-1384-00) Rev. C PCB(Printed circuit board)
PDC-150-031 (LTX 876-1384-00) Rev. C PCB(Printed circuit board)
 179.95  
Amat 0020-09031  Wshr  Insulating
Amat 0020-09031  Wshr  Insulating
 980.00  
Lot Of 17 Tube Fittings
Lot Of 17 Tube Fittings
 29.99  27.89  
14232a127-r3 / Pittman  Crossing  14232a127-r3 / Pittman
14232a127-r3 / Pittman  Crossing  14232a127-r3 / Pittman
 275.68  
2638  Fortrend Engineering F8225S Control Panel
2638 Fortrend Engineering F8225S Control Panel
 170.00  
Brooks 6256S  MFC  Ar / CF : 1000  200 SCCM
Brooks 6256S  MFC  Ar / CF : 1000  200 SCCM
 200.00  
0040-79200 / Chamber Upper Y203 300mm Dpsii / Applied Materials Amat
0040-79200 / Chamber Upper Y203 300mm Dpsii / Applied Materials Amat
 18 756.68  
Hitachi High Technologies 2-819080 Ring Gate New Surplus
Hitachi High Technologies 2-819080 Ring Gate New Surplus
 311.08  
Wonik Quartz International QI-22105 Quartz Window 200mm Used Working
Wonik Quartz International QI-22105 Quartz Window 200mm Used Working
 806.15  
Pentagon Technologies A16-57797 Target Fixture Anelva PVD System New
Pentagon Technologies A16-57797 Target Fixture Anelva PVD System New
 204.10  
Canon Reticle Robot finger unit
Canon Reticle Robot finger unit
 1 869.00  
ASML 865-8019-005 A servio I/O board with 865-8014-002 B
ASML 865-8019-005 A servio I/O board with 865-8014-002 B
 549.99  
Asml 859-8010-003 B Pcb A5402
Asml 859-8010-003 B Pcb A5402
 599.99  
Stratitec Time IPS Intelegent Personnel System ID Card Packs NEW
Stratitec Time IPS Intelegent Personnel System ID Card Packs NEW
 15.00  
OC Oerlikon 102080772 CHUCK CONTR. 1xgas without RF 4500520417H004
OC Oerlikon 102080772 CHUCK CONTR. 1xgas without RF 4500520417H004
 2 000.00  
Unit Instruments UFC-1100 Gas: He 200 SCCM Mass Flow Controller
Top-Rated Plus Seller Unit Instruments UFC-1100 Gas: He 200 SCCM Mass Flow Controller
 60.99  
Used Anelva A/d Converter H11-09728 Rev 01 A/d Conv
Top-Rated Plus Seller Used Anelva A/d Converter H11-09728 Rev 01 A/d Conv
 250.00  
4QU15-095 Replacement Filter Element for Finite HN4L-4QU  0.01 Micron Particulat
4QU15-095 Replacement Filter Element for Finite HN4L-4QU  0.01 Micron Particulat
 132.36  
Dainipron Screen - File #CECC-2011 - Two Tank Change Controller
Dainipron Screen - File #CECC-2011 - Two Tank Change Controller
 647.50  550.38  
Amat 0010-05289 Brush Mount Assy  Flow Thru  2nd Source
Amat 0010-05289 Brush Mount Assy  Flow Thru  2nd Source
 3 600.00  
Amat 0090-77067 It Pressure Transducer
Amat 0090-77067 It Pressure Transducer
 400.00  
Cymer 05-0518-00 rev 001 ADC card 05-0518
Cymer 05-0518-00 rev 001 ADC card 05-0518
 999.99  
321-0103// Idc Pt-app001 Idc Liner Motor [asis]
321-0103// Idc Pt-app001 Idc Liner Motor [asis]
 400.00  
Base for Motorized Mechanical Precision Stage 6-1/4 Rise Black Aluminum.
Base for Motorized Mechanical Precision Stage 6-1/4 Rise Black Aluminum.
 49.95  
Vicor MP2-5701 Power Supply 3? MegaPAC Used Working
Vicor MP2-5701 Power Supply 3? MegaPAC Used Working
 357.12  
ROTEC D 76437 RASTATT VCPU302 BOARD Gmbh free ship
ROTEC D 76437 RASTATT VCPU302 BOARD Gmbh free ship
 249.00  
4730  Edwards 37370360 EMS Mainten Module C3
4730 Edwards 37370360 EMS Mainten Module C3
 400.00  
Dynamic Display QES1014A-203 Operator Interface MRC Eclipse Star Used Working
Dynamic Display QES1014A-203 Operator Interface MRC Eclipse Star Used Working
 512.16  
Amat 0020-34171  Plate Perf 100-150mm Nitride Non Anodize
Amat 0020-34171  Plate Perf 100-150mm Nitride Non Anodize
 2 992.00  
Anelva VSP-0971 2 RF Matching Meter Used Working
Anelva VSP-0971 2 RF Matching Meter Used Working
 503.11  
Proteus Industries 98012SN25P1 8.33VDC=25 GPM Flow Meter
Proteus Industries 98012SN25P1 8.33VDC=25 GPM Flow Meter
 99.00  
Innotec Group FPO-231 PCB Board  Firing Circuit  Assy 92-00272-00  REV C
Innotec Group FPO-231 PCB Board  Firing Circuit  Assy 92-00272-00  REV C
 179.99  
TEL 381-639052-4 M.A. Unit Connection Board C
TEL 381-639052-4 M.A. Unit Connection Board C
 224.99  
C & D Semiconductor 600379-01 PCB Board  REV A
C & D Semiconductor 600379-01 PCB Board  REV A
 199.99  
SVG 99-80184-02 Arm Vacuum Sensor Board  REV J
SVG 99-80184-02 Arm Vacuum Sensor Board  REV J
 74.99  
DIP 15049105 DeviceNet PCB Card CDN491 AMAT Applied Materials 0660-01879
DIP 15049105 DeviceNet PCB Card CDN491 AMAT Applied Materials 0660-01879
 324.00  
x6 Life Technologies Calibration Plaque Assembly 4478586 Rev A
x6 Life Technologies Calibration Plaque Assembly 4478586 Rev A
 14.99  
3098  PVDF Quick Dump Rinser Drain Container
3098 PVDF Quick Dump Rinser Drain Container
 700.00  
Pneumatic Exhaust
Pneumatic Exhaust
 22.50  
HP4062UX Semiconductor Process Control System
HP4062UX Semiconductor Process Control System
 27 000.00  
NTE Electronics 501-0002 POTENTIOMETER 2 WATT 100 OHM 1/4" DIAMETER SHAFT
NTE Electronics 501-0002 POTENTIOMETER 2 WATT 100 OHM 1/4" DIAMETER SHAFT
 25.99  
AMAT Applied Materials 0140-13753 Polyline Harness 0140-13754 Lot of 2 New
AMAT Applied Materials 0140-13753 Polyline Harness 0140-13754 Lot of 2 New
 158.12  
Kurabo Fittings Connector Elbow Final Lock X-UE12 PFA
Kurabo Fittings Connector Elbow Final Lock X-UE12 PFA
 65.00  
AMAT Applied Materials 0041-44565 Rev 02 480mm Ring W/Seal 30 Day Warranty
AMAT Applied Materials 0041-44565 Rev 02 480mm Ring W/Seal 30 Day Warranty
 699.00  
Cg1ba32-240 / Smc Cylinder  Cg1ba32-240 / Smc
Cg1ba32-240 / Smc Cylinder  Cg1ba32-240 / Smc
 60.68  
Hitachi Etch Power Meter M-308ATE Lot of 4 Used Working
Hitachi Etch Power Meter M-308ATE Lot of 4 Used Working
 507.12  
23000-204 / Cfm Backplane 4 Slot / Schroff
23000-204 / Cfm Backplane 4 Slot / Schroff
 125.68  
ESI Synergy SVME-VYFE-0806 902102-005 REV-K  /  Free Expedited Shipping
ESI Synergy SVME-VYFE-0806 902102-005 REV-K / Free Expedited Shipping
 799.00  
Action Instruments Electrical Boards Analog Multiplexers AICP-MUX16
Action Instruments Electrical Boards Analog Multiplexers AICP-MUX16
 79.99  
NEW ASM PN: 2312158-01 Retro/Retrofit FOUP Clamps/Clamp Foupclamps
NEW ASM PN: 2312158-01 Retro/Retrofit FOUP Clamps/Clamp Foupclamps
 3 499.99  
Thermocarbon Dicemaster 4.6BA-30S Flange
Thermocarbon Dicemaster 4.6BA-30S Flange
 150.00  
343-0302// Amat Applied 1400-00433 Ntlr Module  Liquid Leak Detection  [used]
343-0302// Amat Applied 1400-00433 Ntlr Module  Liquid Leak Detection  [used]
 100.00  
Unitronics  UMI-0004BE-B1
Unitronics UMI-0004BE-B1
 249.00  
Nikon 4S007-663-? FIAAF Sensor Board PCB FIAAF-SENSOR-A NSR-S205C Working Spare
Nikon 4S007-663-? FIAAF Sensor Board PCB FIAAF-SENSOR-A NSR-S205C Working Spare
 1 007.19  
Guzik Technical Enterprises  Model: DTR 3000  Serial No. 85002  Spinstand with X
Top-Rated Plus Seller Guzik Technical Enterprises  Model: DTR 3000  Serial No. 85002  Spinstand with X
 1 007.99  
Digital Extender Unit UF-EXX01-TEL01
Digital Extender Unit UF-EXX01-TEL01
 99.99  
FDT Future Display Tech FDT19C06FP Touch-Screen Monitor Used Working
FDT Future Display Tech FDT19C06FP Touch-Screen Monitor Used Working
 312.13  
Smc Itv2011-312n4-dit00066 Pressure Regulator
Smc Itv2011-312n4-dit00066 Pressure Regulator
 800.00  
Atc Flow Meter Il2-001c-2000-ipe2
Atc Flow Meter Il2-001c-2000-ipe2
 5 999.99  
Neslab 010763 Line Voltage PCB for Chiller
Neslab 010763 Line Voltage PCB for Chiller
 395.00  
Advanced Controls bf9 100431 BF9.10-120VAC
Advanced Controls bf9 100431 BF9.10-120VAC
 71.66  43.00  
123-0501// Fine Esf1500-24 Suntronix Used
123-0501// Fine Esf1500-24 Suntronix Used
 200.00  
Airgas Engineering Solutions Cabinet
Airgas Engineering Solutions Cabinet
 18 000.00  
Vacuum Flange  Tag #83
Vacuum Flange Tag #83
 788.00  
EOL TouchSystems 450261-000 Rev.M.1 RJD 4090 Monitor New Surplus
EOL TouchSystems 450261-000 Rev.M.1 RJD 4090 Monitor New Surplus
 504.18  
Rittal AE 1002 Relay Assembly PNOZ 11 Used Working
Rittal AE 1002 Relay Assembly PNOZ 11 Used Working
 308.13  
Reticle Case CBNSS 6" Clear Bottom
Reticle Case CBNSS 6" Clear Bottom
 74.99  
Ultratech stepper 03-20-04940 5 Axis stage Ash PCB + 00784 SLAVE VME Rev B
Ultratech stepper 03-20-04940 5 Axis stage Ash PCB + 00784 SLAVE VME Rev B
 399.99  199.99  
Amat 0090-77084 Rr Pressure Transducer
Amat 0090-77084 Rr Pressure Transducer
 400.00  
Amat 0100-77043 Assy  Dual Wafer Loss Pcb W/ 0020-79103
Amat 0100-77043 Assy  Dual Wafer Loss Pcb W/ 0020-79103
 400.00  
Applied Materials 0100-38029  PCB ASSEMBLY  FAST LIFT
Applied Materials 0100-38029 PCB ASSEMBLY  FAST LIFT
 189.99  125.39  
*PREOWNED* AMTECH Ultraweld 200/250 VAC + Warranty & Fast Shipping!
*PREOWNED* AMTECH Ultraweld 200/250 VAC + Warranty & Fast Shipping!
 399.00  
Tokyo Keiso Sfc-780/j Flowmeter
Tokyo Keiso Sfc-780/j Flowmeter
 99.95  
Screen SL-2210-FC-Z Network Control Lot of 5 Used Working
Screen SL-2210-FC-Z Network Control Lot of 5 Used Working
 378.15  
Amat 0020-26312 001 Shield  Upper 8'' Durasource Ttn Sst
Amat 0020-26312 001 Shield  Upper 8'' Durasource Ttn Sst
 1 600.00  
OEM Group D127146-062A Dark Space Shield RM 12 PRF AL ASM 4628461-001 Used
OEM Group D127146-062A Dark Space Shield RM 12 PRF AL ASM 4628461-001 Used
 306.18  
Amat 0190-06857 Pcb Tcg Smoke-water Detection   Used
Amat 0190-06857 Pcb Tcg Smoke-water Detection   Used
 1 100.00  
Amat 03-78667-00 W Pcb  Di 412375
Amat 03-78667-00 W Pcb  Di 412375
 350.00  
BOC Edwards 652 Power Supply  W56996120  409159
BOC Edwards 652 Power Supply  W56996120  409159
 350.00  
Gw Instek Psw 30-36 Multi-range Dc Power Supply 360w
Gw Instek Psw 30-36 Multi-range Dc Power Supply 360w
 699.90  
RFC-13 Filter  RFC-13 / Split Hinged Ferrite / KG Technologies
RFC-13 Filter  RFC-13 / Split Hinged Ferrite / KG Technologies
 30.00  
ASML 4022.436.8158 D0037225 SE Used USA^6692
ASML 4022.436.8158 D0037225 SE Used USA^6692
 149.00  
Applied Material Motor Driver  Board Part 681723 Rev. A
Applied Material Motor Driver Board Part 681723 Rev. A
 100.00  
Novellus 17-143268-00 Metal Casing  406347
Novellus 17-143268-00 Metal Casing  406347
 395.00  
Mitutoyo 06aby675 Printed Circuit Board  Circuit Card
Mitutoyo 06aby675 Printed Circuit Board  Circuit Card
 499.99  
HP 4062UX Process Control System
HP 4062UX Process Control System
 32 000.00  
Nikon 4S007-789 DC-DC Converter Board PCB LIUREG NSR System Used Working
Nikon 4S007-789 DC-DC Converter Board PCB LIUREG NSR System Used Working
 703.18  
BOC Edwards D37215000 Flash Module (Used)
BOC Edwards D37215000 Flash Module (Used)
 249.00  
Scope Eye Pieces 9x
Scope Eye Pieces 9x
 75.00  
GE Healthcare LINEAR POTENTIOMETER ETI S G5212929
Top-Rated Plus Seller GE Healthcare LINEAR POTENTIOMETER ETI S G5212929
 2 680.50  
Novellus Systems 04-722657-02 Clampless Bottom Shield Assembly Refurbished
Novellus Systems 04-722657-02 Clampless Bottom Shield Assembly Refurbished
 401.12  
Sbs Cpci-200a-bp Ip320 4 Slot Industry Pack Board  Used
Sbs Cpci-200a-bp Ip320 4 Slot Industry Pack Board  Used
 500.00  
116-5007-5 / A-e Controller Pcb / Fortrend
116-5007-5 / A-e Controller Pcb / Fortrend
 350.03  
Phillips 47163636 Circuit Board PCB 2071650059 TBP Used working
Phillips 47163636 Circuit Board PCB 2071650059 TBP Used working
 806.12  
Phillips 50227274 Circuit Board PCB 2071610007 TBP Used Working
Phillips 50227274 Circuit Board PCB 2071610007 TBP Used Working
 806.12  
Allen Integrated AP15222 Digital Output PC Board  16 Relay  40 Solenoid
Allen Integrated AP15222 Digital Output PC Board  16 Relay  40 Solenoid
 139.99  
SVG 99-80323-01 Vacuum Sensor PC Board
SVG 99-80323-01 Vacuum Sensor PC Board
 99.99  
S&a 0000416-03
S&a 0000416-03
 249.00  
Phillips 471 45632 Circuit Board PCB 2069020064 Used Working
Phillips 471 45632 Circuit Board PCB 2069020064 Used Working
 806.12  
Amat 0190-01094 Modular Interlock Assy  Mcvd Gplis
Amat 0190-01094 Modular Interlock Assy  Mcvd Gplis
 650.00  
Turck WKU 5711-1.5m Devicenet Cordset U-38114 1.5 Meter
Top-Rated Plus Seller Turck WKU 5711-1.5m Devicenet Cordset U-38114 1.5 Meter
 79.99  
Leybold Pump Control Box 16x12x9 Inch
Leybold Pump Control Box 16x12x9 Inch
 450.00  
Leybold Pump Control Box 16x12x9 Inch
Leybold Pump Control Box 16x12x9 Inch
 450.00  
A57-016-01 Cable Cylinder Assy
A57-016-01 Cable Cylinder Assy
 520.00  
EBM Blower  115V  175W  50Hz  220VDB  16µF
EBM Blower  115V  175W  50Hz  220VDB  16µF
 125.99  
Amat 0190-28683 Specification Assy Hub 8 Channel Pyro/em
Amat 0190-28683 Specification Assy Hub 8 Channel Pyro/em
 1 850.00  
Nippon Puretec CTA-AN/620360155A2N Cleanant Filter TEL 2924-000017-11 New
Nippon Puretec CTA-AN/620360155A2N Cleanant Filter TEL 2924-000017-11 New
 307.12  
Densan Dve-sh7604 (9271) Vme Board
Densan Dve-sh7604 (9271) Vme Board
 2 800.00  
113-0701// Mattson Rfs3019 Rf Match Asis
113-0701// Mattson Rfs3019 Rf Match Asis
 10 000.00  
Thomas Products 2706  5GPM -- Model 2100 -- New
Thomas Products 2706  5GPM -- Model 2100 -- New
 35.00  
Lot of 4 Misumi JPAMF5-4.00-20 Height Adjustment Pin
Lot of 4 Misumi JPAMF5-4.00-20 Height Adjustment Pin
 38.75  
McMaster Carr 3606K23 Zinc Anode Corrosion Inhibiting Rod *LOT OF 3
McMaster Carr 3606K23 Zinc Anode Corrosion Inhibiting Rod *LOT OF 3
 60.30  
SemiGas Systems Manual Control Unit - Auto Purge M
SemiGas Systems Manual Control Unit - Auto Purge M
 299.00  
K20955 / Zircronia  K20955  Pin Rod / Toray
K20955 / Zircronia  K20955  Pin Rod / Toray
 622.82  
Amat 3700-02173 O-ring
Amat 3700-02173 O-ring
 69.99  
Cr-pwma3-48 / Pwm Drive  48 Volt 8 Amp / Allen-bradley
Cr-pwma3-48 / Pwm Drive  48 Volt 8 Amp / Allen-bradley
 310.82  
Anelva
Anelva
 100.00  
Varian Implanter Arc Chamber Mounting Bracket (Stainless Steel) E17063150 55099
Varian Implanter Arc Chamber Mounting Bracket (Stainless Steel) E17063150 55099
 340.00  
Air Products AP12251 Front Panel Display Board PCB
Air Products AP12251 Front Panel Display Board PCB
 69.99  
TEL 381-639449-4 I/F Pin B Connection Board  MDK-794V-0
TEL 381-639449-4 I/F Pin B Connection Board  MDK-794V-0
 169.99  
TEL 381-639378-3 Stage Terminal Board R2
TEL 381-639378-3 Stage Terminal Board R2
 129.99  
324-0101// Amat Applied 0020-05162 Stud  Cryo/gate Valve W/hex Socket [used]
324-0101// Amat Applied 0020-05162 Stud  Cryo/gate Valve W/hex Socket [used]
 5.00  
KLA 281-500197-3 208-500197-3 Motor Driver Board for 1007 Prober
KLA 281-500197-3 208-500197-3 Motor Driver Board for 1007 Prober
 174.99  
Fujikin Manual Diaphragm Shut-off Valve 77352 1/4" M-m Vcr
Fujikin Manual Diaphragm Shut-off Valve 77352 1/4" M-m Vcr
 75.00  
Teknologue PU4560A LED TESTER Module
Teknologue PU4560A LED TESTER Module
 999.90  
VGB 10332-10250 Rev P7
VGB 10332-10250 Rev P7
 199.00  
AMAT Applied Materials 3700-02329 O-Ring Duro White Reseller Lot of 4 New
AMAT Applied Materials 3700-02329 O-Ring Duro White Reseller Lot of 4 New
 155.18  
Cdp-0500t / Volt 60 Psi / E&s Technologies
Cdp-0500t / Volt 60 Psi / E&s Technologies
 980.82  
[Used] GRANVILLE PHILLIPS / 307701-010-T3  307701-010-T3 / 307 VACUUM GAUGE CONT
[Used] GRANVILLE PHILLIPS / 307701-010-T3  307701-010-T3 / 307 VACUUM GAUGE CONT
 898.00  
Hypervision Chip Unzip Backside Preparation System
Hypervision Chip Unzip Backside Preparation System
 3 745.00  
Ondyne Model 1800 Hygrotwin Hygrometer
Ondyne Model 1800 Hygrotwin Hygrometer
 150.00  
Kofloc  RK1200  High Flow Meter
Kofloc RK1200 High Flow Meter
 85.00  
E3275-30 / Ampstack 30amp 208ac  E3275-30 /  otherm
E3275-30 / Ampstack 30amp 208ac  E3275-30 /  otherm
 125.68  
0040-06157 / Upper Platen Temperature Controlled 300mm / Applied Materials Amat
0040-06157 / Upper Platen Temperature Controlled 300mm / Applied Materials Amat
 8 427.68  
Mydata Automation L-19-318 ATI ED-1A PCB Connector Circuit Board Free Shipping
Mydata Automation L-19-318 ATI ED-1A PCB Connector Circuit Board Free Shipping
 49.99  
Applied Materials 0200-09147  Finger  Hoop  WCVD
Applied Materials 0200-09147  Finger  Hoop  WCVD
 80.00  
Cosel Paa75f-24 Power Supply 24v 3.2a  Used
Cosel Paa75f-24 Power Supply 24v 3.2a  Used
 50.00  
TEL 381-639175-2 Exhaust Alarm Board
TEL 381-639175-2 Exhaust Alarm Board
 259.99  
TEL 1381-645251-12 Mapping Sensor Board
TEL 1381-645251-12 Mapping Sensor Board
 259.99  
TEL 1381-650051-12 VZ Indexer Connection Board Assembly  MDK-794V-0
TEL 1381-650051-12 VZ Indexer Connection Board Assembly  MDK-794V-0
 299.99  
Setra 26710R1WD2DD9CD Pressure Transducer 0-0.1" (4148)
Top-Rated Plus Seller Setra 26710R1WD2DD9CD Pressure Transducer 0-0.1" (4148)
 89.00  
Cable 35-00000302 REV A 08 03
Cable 35-00000302 REV A 08 03
 45.00  
Novellus 03-276843-00  Cable  406384
Novellus 03-276843-00  Cable  406384
 350.00  
Amat Deposition Ring 0200-01725-207-006
Amat Deposition Ring 0200-01725-207-006
 1 195.00  
Ulvac MCP-1010SX Magnet Power Supply
Ulvac MCP-1010SX Magnet Power Supply
 2 198.98  
Gyrolok 1/4" Brass Nuts  Male  QTY OF 50
Gyrolok 1/4" Brass Nuts  Male  QTY OF 50
 14.99  
Dns Isolation Rings A-38 Ds
Dns Isolation Rings A-38 Ds
 398.00  
Gemu 600040m5e Diaphragm
Gemu 600040m5e Diaphragm
 80.00  
Matrix Corporation DSC-5K-SVGL PCB Used Working
Matrix Corporation DSC-5K-SVGL PCB Used Working
 458.09  
Omron UV-LED Controller ZUV-C30H free ship
Omron UV-LED Controller ZUV-C30H free ship
 235.00  
Fm-3901v-4v / Mfm Fm 3901  100sccm n2 sts Tool min 05 Psid / Celerity
Fm-3901v-4v / Mfm Fm 3901  100sccm n2 sts Tool min 05 Psid / Celerity
 368.68  
DAINIPPON Screen SWCS-MNC-4 UC6SWCSMNC1Y1
DAINIPPON Screen SWCS-MNC-4 UC6SWCSMNC1Y1
 499.00  
910-302-715-00 / Aeg Contactor  Sh4 Sh8  24vdc Coil  910-302-715-00 / Aeg
910-302-715-00 / Aeg Contactor  Sh4 Sh8  24vdc Coil  910-302-715-00 / Aeg
 29.82  
Applied Materials Motor Driver Board Assy # 03-81723** Schem 06-8172300-rev G
Applied Materials Motor Driver Board Assy # 03-81723** Schem 06-8172300-rev G
 99.99  
Applied Materials Wing Frog Leg AMAT Part #0020-35032
Applied Materials Wing Frog Leg AMAT Part #0020-35032
 675.00  
Supco CR4-KTH Universal Recorder
Supco CR4-KTH Universal Recorder
 75.00  
Philips 5322 694 15215  HV CONTROL CARD
Philips 5322 694 15215 HV CONTROL CARD
 420.00  
Philips 5322 694 15306  FF SUPPLY CONTROL CARD
Philips 5322 694 15306 FF SUPPLY CONTROL CARD
 420.00  
miControl driver mcDSA-E6-Modul 1505504 Control mc 3144728
miControl driver mcDSA-E6-Modul 1505504 Control mc 3144728
 95.00  
HORIBA STEC Network Interface Model: CNL-06 | LE06-060719 - BRAND NEW |
Top-Rated Plus Seller HORIBA STEC Network Interface Model: CNL-06 | LE06-060719 - BRAND NEW |
 349.99  
023.02.26 / Pcb Board  501.01.44  Myp9300005 / Semy Engineering
023.02.26 / Pcb Board  501.01.44  Myp9300005 / Semy Engineering
 495.82  
Cybor 25047-01 Opto-isolation Interface Box
Cybor 25047-01 Opto-isolation Interface Box
 168.50  
Amat 3860-01596 Tbg Flex .25id X 50ft Norprene  New
Amat 3860-01596 Tbg Flex .25id X 50ft Norprene  New
 100.00  
324-0203// Amat Applied 0020-79313 Gear  Rotation [used]
324-0203// Amat Applied 0020-79313 Gear  Rotation [used]
 220.00  
409-0102// Tel 3281-000173-14 3208-000173-13 Board [used/fast]
409-0102// Tel 3281-000173-14 3208-000173-13 Board [used/fast]
 200.00  
Nissin CF-04562-1  PCB  Farmon ID 412044
Nissin CF-04562-1  PCB  Farmon ID 412044
 325.00  
Ae Navigator-1013  /  3155126-011 B  Rf Match
Ae Navigator-1013 / 3155126-011 B Rf Match
 2 999.00  
ASML 75mm glass Used SERV.486.38673 SEM-I-516=2M24
ASML 75mm glass Used SERV.486.38673 SEM-I-516=2M24
 99.90  
Ultratech stepper 03-20-04940 5 Axis stage Ash PCB + 00784-02 SLAVE VME Rev C
Ultratech stepper 03-20-04940 5 Axis stage Ash PCB + 00784-02 SLAVE VME Rev C
 399.99  199.99  
322-0502// Amat Applied 0200-09657 Panel Rear Cbl Liquid Source [used]
322-0502// Amat Applied 0200-09657 Panel Rear Cbl Liquid Source [used]
 100.00  
LTX 879-897-01/A  877-660-01 REV. 8910  101. Module
LTX 879-897-01/A  877-660-01 REV. 8910  101. Module
 175.95  
Applied Materials 0190-19897 Rev001 Flow Switch Manifold Mount 119292-1 Use^6322
Applied Materials 0190-19897 Rev001 Flow Switch Manifold Mount 119292-1 Use^6322
 149.00  
NOVA Optics PCB DD210-34514-00/B Connector Board Circuit Card
NOVA Optics PCB DD210-34514-00/B Connector Board Circuit Card
 49.99  
ASM Advanced Semiconductor Materials 02-33082 HiPEC Power Supply Assembly Used
ASM Advanced Semiconductor Materials 02-33082 HiPEC Power Supply Assembly Used
 454.17  
ASTEK AHT3-LAI-01 HT3 Packet Analyzer
ASTEK AHT3-LAI-01 HT3 Packet Analyzer
 1 007.18  
163-0201// Amat Applied 3620-01457 Pump  Turbo Vac 400mct 100iso-k Flng [asis]
163-0201// Amat Applied 3620-01457 Pump Turbo Vac 400mct 100iso-k Flng [asis]
 6 000.00  
4383  Applied Materials 0100-00039 Robot Interconnect PCB Assy.
4383 Applied Materials 0100-00039 Robot Interconnect PCB Assy.
 140.00  
Amats Injection Oil Fombline 70501660100
Amats Injection Oil Fombline 70501660100
 79.00  
LOT OF 3 CURRENT TRANSFORMER IEC 44-1 CP62/30 100/5A 0.72Kv 50....60Hz
LOT OF 3 CURRENT TRANSFORMER IEC 44-1 CP62/30 100/5A 0.72Kv 50....60Hz
 85.00  
Tokyo Electron Ltd. Duct Assembly  Left PN CT2987-466566-11 (New)
Tokyo Electron Ltd. Duct Assembly  Left PN CT2987-466566-11 (New)
 99.00  
PANAMETRICS V390 & V319 with Cables & Ferrite Clips
PANAMETRICS V390 & V319 with Cables & Ferrite Clips
 1 300.00  
Nidek S1145-PC2282-A IM-14 Wafer Autoloader Motor Assembly IM-11 AP/11 Used
Nidek S1145-PC2282-A IM-14 Wafer Autoloader Motor Assembly IM-11 AP/11 Used
 455.16  
Furon 1108013 Valve Manifold 80 Psig
Furon 1108013 Valve Manifold 80 Psig
 800.00  
Nikon 4S019-288-1 Interface PCB Card IFSIGCOR NSR-S204B Used Working
Nikon 4S019-288-1 Interface PCB Card IFSIGCOR NSR-S204B Used Working
 1 405.18  983.63  
Micrion Corp. 150-1611 Rev. B1  Pcb 140-001610 Rev B  Dynamco D123201
Micrion Corp. 150-1611 Rev. B1  Pcb 140-001610 Rev B  Dynamco D123201
 200.00  
Xandex Model: 320-0201 Inker Base Assembly  B201 < P
Xandex Model: 320-0201 Inker Base Assembly  B201 < P
 274.99  
Ircon / Applied Material  Board Part La-729
Ircon / Applied Material Board Part La-729
 100.00  
Swagelok Spring Kit  302-13k-ch8-1  Qty Of 4
Swagelok Spring Kit  302-13k-ch8-1  Qty Of 4
 22.99  
Fp-uddf-71-9.52-nl-pa#a
Fp-uddf-71-9.52-nl-pa#a
 216.90  
Tylan FC-260  MFC  Gas : N2  Range : 1 SLPM
Tylan FC-260  MFC  Gas : N2  Range : 1 SLPM
 200.00  
Compact PCI 660-CPCI16WIB BOARD   USED
Compact PCI 660-CPCI16WIB BOARD   USED
 1 000.00  
Scp Global Technology 3270281b Hcu 2 Heater Control Unit
Scp Global Technology 3270281b Hcu 2 Heater Control Unit
 499.95  
Nikon C0020-01A Main Board PCB NSR Series Used Working
Nikon C0020-01A Main Board PCB NSR Series Used Working
 507.12  
DNS Dainippon Screen MC-701 PCB Control Assembly DS-1211-ANALOG(C) Used Working
DNS Dainippon Screen MC-701 PCB Control Assembly DS-1211-ANALOG(C) Used Working
 508.12  
Hokuyo Automation Dmsgb1z27 Variable Sensor
Hokuyo Automation Dmsgb1z27 Variable Sensor
 69.98  
Philips 4022 332 8550 line input  card
Philips 4022 332 8550 line input card
 230.00  
^^ Marteq Process Solutions Inc P/n Xdcr (hy70)
^^ Marteq Process Solutions Inc P/n Xdcr (hy70)
 100.00  75.00  
Accessories  Package Sale
Accessories  Package Sale
 1 200.00  
Allen Integrated AP15222-1 Digital Output PC Board  16 Relay  40 Solenoid
Allen Integrated AP15222-1 Digital Output PC Board  16 Relay  40 Solenoid
 139.99  
Amat 0021-23335 Lock Splash Guard Housing Scrubber
Amat 0021-23335 Lock Splash Guard Housing Scrubber
 50.00  
Heraeus Quartzglass Boat for Semiconductor/Solar 90149039 30007 Rev. B
Heraeus Quartzglass Boat for Semiconductor/Solar 90149039 30007 Rev. B
 60.22  
TEL MDK794V-0 STP/F & ELV Connection Board B  381-639655-5
TEL MDK794V-0 STP/F & ELV Connection Board B  381-639655-5
 399.99  
TEL 1381-655641-11 Fan Alarm Board  MDK-794V-0
TEL 1381-655641-11 Fan Alarm Board  MDK-794V-0
 169.99  
350-0202// Amat Applied 0021-22230 Clamp Bottom Cable Holder Source Gn [new]
350-0202// Amat Applied 0021-22230 Clamp Bottom Cable Holder Source Gn [new]
 20.00  
Sierra Instruments 54-0173-04 Mass Flow Meter 0-600 SLPM N2 MFC
Sierra Instruments 54-0173-04 Mass Flow Meter 0-600 SLPM N2 MFC
 199.99  
Alcatel ACT 1000M turbo Controller
Alcatel ACT 1000M turbo Controller
 1 550.00  
Idec Apw199d Y-24v
Idec Apw199d Y-24v
 29.49  
Advanced Energy Lm-1.25k Switch Box Without Knob
Advanced Energy Lm-1.25k Switch Box Without Knob
 599.99  
Algo Dsd-flm-00 Dainippon Screen
Algo Dsd-flm-00 Dainippon Screen
 100.00  
Kd259169 / Parker  Series S Air Cylinder / Parker
Kd259169 / Parker  Series S Air Cylinder / Parker
 81.82  
PERKIN ELMER / ASML Micralign 300HT Coarse Viewing Illumination Controller
PERKIN ELMER / ASML Micralign 300HT Coarse Viewing Illumination Controller
 399.99  
4022.454.71022 Connecting Tube BP assy / ASML
4022.454.71022 Connecting Tube BP assy / ASML
 490.00  
Philips 4022 332 8550 line input  card
Philips 4022 332 8550 line input card
 150.00  
304-08777-00 / Seal  Backup  Robot  6inch  2  A3 / Mattson
304-08777-00 / Seal  Backup  Robot  6inch  2  A3 / Mattson
 350.00  
George Fischer preamplifier 32720 198864602
George Fischer preamplifier 32720 198864602
 100.00  85.00  
Amat 0010-17814  Assy  Endpoint Detector
Amat 0010-17814 Assy Endpoint Detector
 1 499.00  
Nikon 4S007-663 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-A NSR-S202A Used
Nikon 4S007-663 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-A NSR-S202A Used
 856.18  
2020087-00 / Ups For 4200  2020087-00 / Apollo
2020087-00 / Ups For 4200  2020087-00 / Apollo
 99.82  
Heraeus 63262 Quartz Cap 1105-201195-51 PROCACC EF/SP 110520119551
Heraeus 63262 Quartz Cap 1105-201195-51 PROCACC EF/SP 110520119551
 675.00  
4000-60002 / Pcb Axis Board / Kensington
4000-60002 / Pcb Axis Board / Kensington
 1 250.00  
Nikon 4S008-117-A Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System Used
Nikon 4S008-117-A Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System Used
 2 010.18  1 206.11  
Nikon Bu-aoi 4s019-748 -pc02101b Board
Nikon Bu-aoi 4s019-748 -pc02101b Board
 128.00  
Concord Data Systems 224
Concord Data Systems 224
 65.00  
Nikon 4K577-176 Integrator Sensor NSR-S306C DUV System Used Working
Nikon 4K577-176 Integrator Sensor NSR-S306C DUV System Used Working
 5 008.18  2 003.27  
102682-000 / Pcb Board / Micro Control Company
102682-000 / Pcb Board / Micro Control Company
 890.82  
ATMI Unichem 2900
ATMI Unichem 2900
 4 250.00  
Lam 853-007526-001  Gate Drive Cylinder  KURODA C-2-50-38  Refurbished
Lam 853-007526-001  Gate Drive Cylinder  KURODA C-2-50-38  Refurbished
 157.50  
Applied Materials AMAT  Susc Cal Display Power Cable -- 0150-09087 -- New
Applied Materials AMAT Susc Cal Display Power Cable -- 0150-09087 -- New
 95.00  
Stratitec Time IPS152T Intelegent Personnel System CBLCD420 Part Preowned
Stratitec Time IPS152T Intelegent Personnel System CBLCD420 Part Preowned
 19.99  
Lasemtech  Inc Chiller Model BCU-B210RU-LRC - 3 Phase S3117y
Lasemtech  Inc Chiller Model BCU-B210RU-LRC - 3 Phase S3117y
 749.99  
M and W Systems 42-0016 Power Board PCB Untested As-Is
M and W Systems 42-0016 Power Board PCB Untested As-Is
 301.12  
Applied Material Ram Memory Board Part # 03-72532-00 Rev. F
Applied Material Ram Memory Board Part # 03-72532-00 Rev. F
 100.00  
Solder Feed Tube Part 6072155317/PO2 for MRO Manufacturing Soldering NOS Qty 5
Solder Feed Tube Part 6072155317/PO2 for MRO Manufacturing Soldering NOS Qty 5
 40.00  
NEW ASM PN: 2509733-01 !!TC DOUBLE PT/PTRH 13% A= Thermocouple
NEW ASM PN: 2509733-01 !!TC DOUBLE PT/PTRH 13% A= Thermocouple
 347.06  
Varian Implanter Ground Electrode (Graphite) REV A E17026550 (55063)
Varian Implanter Ground Electrode (Graphite) REV A E17026550 (55063)
 220.00  
177-0404// Amat Applied 0050-83271 Applied Matrials Components [asis]
177-0404// Amat Applied 0050-83271 Applied Matrials Components [asis]
 200.00  
FEI COMPANY FDCPS  P/N 4035 272 25001 Rev B
FEI COMPANY FDCPS P/N 4035 272 25001 Rev B
 179.90  
Nikon 4S019-061 Interface Control Card PCB AVDRVX4VE Used Working
Nikon 4S019-061 Interface Control Card PCB AVDRVX4VE Used Working
 1 911.18  1 337.83  
Nor-cal Productions 3870-01256
Nor-cal Productions 3870-01256
 395.00  
S40100205 / Pcb Board  Driver  A28020458 / Intelligent Motion Systems
S40100205 / Pcb Board  Driver  A28020458 / Intelligent Motion Systems
 85.68  
Ex-10 (lot Of 2) / Ex-10  Photoeletric Sensor / Sunx
Ex-10 (lot Of 2) / Ex-10  Photoeletric Sensor / Sunx
 115.68  
99-0055s / Jalapeno Valve / Mks
99-0055s / Jalapeno Valve / Mks
 1 982.03  
National Instruments NI 1456 compact vision system
National Instruments NI 1456 compact vision system
 750.00  
Used PDCH-4511S RS-HZN001 SEM-I-1063=P1
Used PDCH-4511S RS-HZN001 SEM-I-1063=P1
 3 999.90  
Oerlikon Bb281293-t Sensor
Oerlikon Bb281293-t Sensor
 240.00  
Amat 0190-12032 Motor Servo 100w  W/double Flats  W/ Con
Amat 0190-12032 Motor Servo 100w  W/double Flats  W/ Con
 1 400.00  
BTU Engineering 3161231 V02 Temperature Control Board
BTU Engineering 3161231 V02 Temperature Control Board
 262.49  
319-0201// Amat Applied 0010-20295 Applied Matrials Components Used
319-0201// Amat Applied 0010-20295 Applied Matrials Components Used
 1 500.00  
Matrox Meteor Ii Multi-channel 751_0201 Rev.a
Matrox Meteor Ii Multi-channel 751_0201 Rev.a
 99.90  
ASML 4022.436.8112 4022.436.8113 D0037166 SE Used USA^6691
ASML 4022.436.8112 4022.436.8113 D0037166 SE Used USA^6691
 139.00  
AMAT Applied Materials 0100-90480 DAQ EXPANSION PCB Card 0120-92848 Used Working
AMAT Applied Materials 0100-90480 DAQ EXPANSION PCB Card 0120-92848 Used Working
 402.19  
Square D Vertron Circuit Breaker KAL262001127 Lot of 4 Used Working
Square D Vertron Circuit Breaker KAL262001127 Lot of 4 Used Working
 504.12  
Pneutronics 990-4767-001  o-backplane Board 990-004767-001
Pneutronics 990-4767-001  o-backplane Board 990-004767-001
 2 500.00  
Mks Series 902 902-0313-0007 Piezo Transducer
Mks Series 902 902-0313-0007 Piezo Transducer
 199.00  
Socapel 024.7036.A Servo Drive Main Board PCB Used Working
Socapel 024.7036.A Servo Drive Main Board PCB Used Working
 507.12  
PCB Rack ESD Circulation Rack for PCB storage 47.5*13.5*3.3cm lot of 40
PCB Rack ESD Circulation Rack for PCB storage 47.5*13.5*3.3cm lot of 40
 279.00  
Parker Pargrip™ Check Valve PTFE 1/4 Inch (UK) CV-1-2244
Parker Pargrip™ Check Valve PTFE 1/4 Inch (UK) CV-1-2244
 162.69  
Applied Materials 71-260780 REV A 4906 102513 Tube
Applied Materials 71-260780 REV A 4906 102513 Tube
 180.00  
Ae Navigator-10013  /  3155162-023b  Rf Match
Ae Navigator-10013 / 3155162-023b Rf Match
 4 999.00  
RT5000 Surfactant Pressurizing Unit with Transformer Untested As-Is
RT5000 Surfactant Pressurizing Unit with Transformer Untested As-Is
 1 504.15  
347-0201// Amat Applied 0020-23039 Bracket  Left  Cassette Suppor Used
347-0201// Amat Applied 0020-23039 Bracket  Left  Cassette Suppor Used
 50.00  
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Working
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Working
 1 203.22  842.25  
Modus Instruments DA-4-04M-0-RR-15-114 Display Alarm Reseller Lot of 5 Working
Modus Instruments DA-4-04M-0-RR-15-114 Display Alarm Reseller Lot of 5 Working
 506.12  
Quartz Tray for AG Associates Heatpulse 210T RTP
Quartz Tray for AG Associates Heatpulse 210T RTP
 250.00  
Lucas Labs PDC Power Unit Used Working
Lucas Labs PDC Power Unit Used Working
 509.12  
142-0701// Amat Applied 0140-09121 Harness Gas Panel Cover Switch Used
142-0701// Amat Applied 0140-09121 Harness Gas Panel Cover Switch Used
 30.00  
OPB718Z Sensor / OPB718Z
OPB718Z Sensor / OPB718Z
 520.00  
Meiden Ua2025/0115-9933be Slave Controller
Meiden Ua2025/0115-9933be Slave Controller
 399.95  
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D1 + 00784 SLAVE VME Rev C
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D1 + 00784 SLAVE VME Rev C
 499.99  249.99  
SVG Silicon Valley Group 80241B-1-01 VB/VP Sensor Board
SVG Silicon Valley Group 80241B-1-01 VB/VP Sensor Board
 92.99  
View Engineering Convolver 1007100 Rev C S/n
View Engineering Convolver 1007100 Rev C S/n
 254.95  232.00  
Optimation GMC2501 I/O Interface Board PCB Untested AS-IS
Optimation GMC2501 I/O Interface Board PCB Untested AS-IS
 507.18  
Westinghouse Gate Drive Assy 5881c51g
Westinghouse Gate Drive Assy 5881c51g
 424.99  386.74  
Nidek Im12 - Mb1 Crt Controller Board Rev. A
Nidek Im12 - Mb1 Crt Controller Board Rev. A
 49.99  
0090-20020 Sensor  0090-20020 / Rev 001 / Applied Materials
0090-20020 Sensor  0090-20020 / Rev 001 / Applied Materials
 520.00  
Amat 0200-09121  Shield 4 5 6 Bw
Amat 0200-09121  Shield 4 5 6 Bw
 826.00  
Pcb-a005-x / Pcb Board Disco  Pcb-a005-x  Hard Drive / Disco
Pcb-a005-x / Pcb Board Disco  Pcb-a005-x  Hard Drive / Disco
 1 080.68  
Zkg-10y / Magnetic Clutch Zkg-10y / Mitsubishi
Zkg-10y / Magnetic Clutch Zkg-10y / Mitsubishi
 44.82  
Liebert Inverter Base Drive ASSY 02-792214
Top-Rated Plus Seller Liebert Inverter Base Drive ASSY 02-792214
 74.99  
102458-000 / Pcb Board / Micro Control Company
102458-000 / Pcb Board / Micro Control Company
 175.68  
Ion Systems Tt1er4-1
Ion Systems Tt1er4-1
 250.00  
Genus 2299-01 Digital Isolator Circuit Board FAB 2299-00
Top-Rated Plus Seller Genus 2299-01 Digital Isolator Circuit Board FAB 2299-00
 139.98  
Parker PTFE Flare Coupling BA-12F12 BA BA12F12 NEW
Parker PTFE Flare Coupling BA-12F12 BA BA12F12 NEW
 39.99  
Micrion Model 150-1080 Board
Micrion Model 150-1080 Board
 120.00  
Amat 0020-77433 Spacer  New
Amat 0020-77433 Spacer  New
 60.00  
Phase Metrics TAA/PW E040619000-1 Rev 3 Board
Phase Metrics TAA/PW E040619000-1 Rev 3 Board
 149.99  100.49  
SMC MCDQ2B50-25DZ-X Cylinder 145 PSI 1.0 MPa
Top-Rated Plus Seller SMC MCDQ2B50-25DZ-X Cylinder 145 PSI 1.0 MPa
 19.99  
United Tool & Engineering MH77512-M61DCT.21 Alignment Pin
United Tool & Engineering MH77512-M61DCT.21 Alignment Pin
 46.50  
0302-0187-00 20-200-015
0302-0187-00 20-200-015
 1 900.00  
443-0501// Oriental Motor Bl425gn-24h-e1 Brushless Dc Motor [new]
443-0501// Oriental Motor Bl425gn-24h-e1 Brushless Dc Motor [new]
 100.00  
O-ring 3700-01343
O-ring 3700-01343
 11.00  
View Engineering 1119-dual Video Processor
View Engineering 1119-dual Video Processor
 394.95  359.40  
A2049 / Akrion V3 Robot Carrier Rod Coupler New / Marler Enterprises
A2049 / Akrion V3 Robot Carrier Rod Coupler New / Marler Enterprises
 495.00  
Amat 0200-09072  Shield 200mm
Amat 0200-09072  Shield 200mm
 960.00  
136-0501// Amat Applied 0010-10420 (#1) 5000 Platform Version Iv Ampule Asis
136-0501// Amat Applied 0010-10420 (#1) 5000 Platform Version Iv Ampule Asis
 1 000.00  
ASML 859-0743-018 Digital Focus PCB A1208 Used Working
ASML 859-0743-018 Digital Focus PCB A1208 Used Working
 1 028.09  
Daikin UMH6600580 N ofine Fan
Top-Rated Plus Seller Daikin UMH6600580 N ofine Fan
 749.99  
Modutek Corporation Microtime T16 Timer T16a Rinse
Modutek Corporation Microtime T16 Timer T16a Rinse
 150.00  
Lot Of 2 Credence Technologies Equilibrion Ionizer Controller Ctc037
Lot Of 2 Credence Technologies Equilibrion Ionizer Controller Ctc037
 30.00  
5450  Applied Materials 8300 (0010-00135) Power Supply
5450 Applied Materials 8300 (0010-00135) Power Supply
 2 500.00  
42039-001 / Fsi Chuck Bellows  42039-001  428124-1 / Fsi
42039-001 / Fsi Chuck Bellows  42039-001  428124-1 / Fsi
 6 250.68  
Olympus NeoSPLAN100 Objective - 14 Day Right of Return
Olympus NeoSPLAN100 Objective - 14 Day Right of Return
 280.00  
Mykrolis Yy561110 Pf 11'' Bpwl 1/4'' Flaretek Drain
Mykrolis Yy561110 Pf 11'' Bpwl 1/4'' Flaretek Drain
 699.95  
Sanden S-PS-033B Power Supply  PCB  411786
Sanden S-PS-033B Power Supply  PCB  411786
 175.00  
Lot of 2 Nordiko N600600EE PCB Module Board
Lot of 2 Nordiko N600600EE PCB Module Board
 189.99  
Omron S8PS-30024C Power Supply (Lot of 6) used working
Omron S8PS-30024C Power Supply (Lot of 6) used working
 366.16  
Unit 1665 Mass Flow Controller  Tag #500
Unit 1665 Mass Flow Controller Tag #500
 268.00  
USG Ametek 163273 Air Gauge 100 PSI 1/4 NPT NEW
USG Ametek 163273 Air Gauge 100 PSI 1/4 NPT NEW
 23.99  
Advanced Graphic Systems PCB ASSY 230-00604
Advanced Graphic Systems PCB ASSY 230-00604
 199.95  181.95  
ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working
ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working
 507.12  
GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. D A-2000LL Used Working
GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. D A-2000LL Used Working
 410.16  
Telemecanique CAD50 Relay Control  24VDC
Telemecanique CAD50 Relay Control  24VDC
 19.99  
Nikon 4S013-510 Interface PCB Card SPAIFX4B NSR-S307E DUV Used Working
Nikon 4S013-510 Interface PCB Card SPAIFX4B NSR-S307E DUV Used Working
 2 006.17  1 203.70  
8282335 / Driver A121 / Zmisc
8282335 / Driver A121 / Zmisc
 110.82  
Arfhd34x34 / Cylinder Air  27-999795-00 / Compact Air Products  Inc.
Arfhd34x34 / Cylinder Air  27-999795-00 / Compact Air Products  Inc.
 64.82  
24-0131 (lot Of 3) / Pcb Board  Card-extender-h2  Detector / Gastech
24-0131 (lot Of 3) / Pcb Board  Card-extender-h2  Detector / Gastech
 125.68  
04-716703-01 / Switch Vacuum  04-716703-01 / Novellus
04-716703-01 / Switch Vacuum  04-716703-01 / Novellus
 80.68  
New Tochigiya Support Brace Dampened Lift Tm-149-100-5 Tm1491005
New Tochigiya Support Brace Dampened Lift Tm-149-100-5 Tm1491005
 35.00  
Boc Edwards D37320259 / D37350602
Boc Edwards D37320259 / D37350602
 200.00  
Nikon 4s019-407-1 Assy
Nikon 4s019-407-1 Assy
 900.00  
ASML Used 4022.646.43851  LISAF 400V 6001-1217-3901 SEM-I-988=9G22
ASML Used 4022.646.43851  LISAF 400V 6001-1217-3901 SEM-I-988=9G22
 499.90  
Delta Tau UMAC 2/4-Axis 4096 Interpolator
Delta Tau UMAC 2/4-Axis 4096 Interpolator
 140.00  
AMAT Applied Materials 0100-01492 Source Conditioning Board PCB Used Working
AMAT Applied Materials 0100-01492 Source Conditioning Board PCB Used Working
 404.17  
Perkin-Elmer 851-8518-004 A/D Conversion PCB Card Rev. A SVG ASML 90S DUV Used
Perkin-Elmer 851-8518-004 A/D Conversion PCB Card Rev. A SVG ASML 90S DUV Used
 410.11  
0-521-899 / Mini Motor With Encoder / Hengstler
0-521-899 / Mini Motor With Encoder / Hengstler
 300.68  
Harrington BioPharm 270800SKL Rev.D Flexible Stainless Steel Braided Hose
Harrington BioPharm 270800SKL Rev.D Flexible Stainless Steel Braided Hose
 98.25  34.39  
UNIT Mass Flow Controller UFC-1100A CHF3 50  SCCM  From Tegal 901e 903e DX-4-031
UNIT Mass Flow Controller UFC-1100A CHF3 50 SCCM  From Tegal 901e 903e DX-4-031
 275.00  
Genie Hinge Spacer p/n 53707
Genie Hinge Spacer p/n 53707
 44.99  
OpenSky Tyco M/A-COM AT-007195 RB Attenuator
OpenSky Tyco M/A-COM AT-007195 RB Attenuator
 24.99  
SMC CDM2UZ25-D9034 Cylinder  Lift Assy  450457
SMC CDM2UZ25-D9034 Cylinder  Lift Assy  450457
 150.00  
APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 0190-14285 DIP-510-002
APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 0190-14285 DIP-510-002
 180.00  
Tokyo Keiso F06-730565 Flow Meters Sensors  USED
Tokyo Keiso F06-730565 Flow Meters Sensors  USED
 50.00  
Kokusai Cq1730 Cpu Controller
Kokusai Cq1730 Cpu Controller
 1 400.95  
302-031-03 23017-6 Pcb
302-031-03 23017-6 Pcb
 499.00  
Tokyo Flow Meter EM-0100 Multi-Digitalmeter Lot of 10 Used Working
Tokyo Flow Meter EM-0100 Multi-Digitalmeter Lot of 10 Used Working
 504.19  
Moeller Electric Faz2b13 Used
Moeller Electric Faz2b13 Used
 22.73  
DryTek 2800257E Oscillator/Buffer Board
Top-Rated Plus Seller DryTek 2800257E Oscillator/Buffer Board
 119.98  
177-0502// Amat Applied 0010-09875 Assy  Manifold Insulation  H20 Vdsii [asis]
177-0502// Amat Applied 0010-09875 Assy  Manifold Insulation  H20 Vdsii [asis]
 1 470.00  
Sporlan EGRE-12-X Thermostatic Expansion Valve P33069100
Sporlan EGRE-12-X Thermostatic Expansion Valve P33069100
 30.00  
Mykrolis QCCVAT1C1K Filter Quickchange  Chemlock AT 0.1µm Prewet
Mykrolis QCCVAT1C1K Filter Quickchange Chemlock AT 0.1µm Prewet
 1 225.00  
PSE Electronik Modular Board - SMP3200 B w/Warranty
Top-Rated Plus Seller PSE Electronik Modular Board - SMP3200 B w/Warranty
 200.00  
Gate Drive Assy 5881c51g01 Rev 17
Gate Drive Assy 5881c51g01 Rev 17
 424.99  386.74  
Mfcdnet2-mb/a0 D2e01502 Mfcdnet2-mb/b0 Pcb
Mfcdnet2-mb/a0 D2e01502 Mfcdnet2-mb/b0 Pcb
 1 499.00  
Arc - Action Research Corporation Fa448d
Arc - Action Research Corporation Fa448d
 250.00  
CP-24/1.0 Power Supply  CP-24/1.0 / Switching Power Supply / ABB
CP-24/1.0 Power Supply  CP-24/1.0 / Switching Power Supply / ABB
 55.00  
Ultratech Stepper Reticle LIB Breakout Board 03-15-04607 Rev. A
Ultratech Stepper Reticle LIB Breakout Board 03-15-04607 Rev. A
 249.99  167.49  
AMAT 0150-00172 Interface Cable  402757
AMAT 0150-00172 Interface Cable  402757
 195.00  
TEL Tokyo Electron TA3002-800107-01 UV Light Box Assembly for IODP
TEL Tokyo Electron TA3002-800107-01 UV Light Box Assembly for IODP
 675.00  
Swagelok  Brass 5/8" VCO Gland  B-10-VCO-3  QTY OF 6
Swagelok  Brass 5/8" VCO Gland  B-10-VCO-3  QTY OF 6
 15.99  
Nikon IPA Vapor Level Control Module NSR Used Working
Nikon IPA Vapor Level Control Module NSR Used Working
 902.14  
Applied Materials AMAT 0021-16287-006 BOTTOM Shield 300MM
Applied Materials AMAT 0021-16287-006 BOTTOM Shield 300MM
 600.00  
HP Agilent E5515 Hard Disk Drive E5515-61133 (3910) Made in UK
HP Agilent E5515 Hard Disk Drive E5515-61133 (3910) Made in UK
 160.97  
Ndil0m / Small Contactor And Relay Access. 7-32a Dilm7 To Dilm32 / Moeller
Ndil0m / Small Contactor And Relay Access. 7-32a Dilm7 To Dilm32 / Moeller
 55.68  
Now Technologies Nowpak Level Monitor Control Box
Now Technologies Nowpak Level Monitor Control Box
 184.99  
ASM 16-402360-01 COVER-LOADLOCK-LEFT New Surplus
ASM 16-402360-01 COVER-LOADLOCK-LEFT New Surplus
 707.18  
KLA Tencor P11 Surface Profiler P-11 Long Scan Profilometer Step Height Wafer
KLA Tencor P11 Surface Profiler P-11 Long Scan Profilometer Step Height Wafer
 6 000.00  
^^ Granville Phillips Ionization Gauge Model 274003 -new  (rst111)
Top-Rated Plus Seller ^^ Granville Phillips Ionization Gauge Model 274003 -new (rst111)
 250.00  187.50  
Turck BSMV BKFDV 14-M18-755-4 S653 S771 Versa Fast Cordset U-15509
Top-Rated Plus Seller Turck BSMV BKFDV 14-M18-755-4 S653 S771 Versa Fast Cordset U-15509
 69.99  
64547 4241853-1 assy board
64547 4241853-1 assy board
 200.00  
Applied Materials Verstrak Mother Boardboard # 678702 Rev E
Applied Materials Verstrak Mother Boardboard # 678702 Rev E
 100.00  
Turck BSMV BKFDV 14-M18-755-6/S653/S1055 Versa Fast Cordset U-15518
Top-Rated Plus Seller Turck BSMV BKFDV 14-M18-755-6/S653/S1055 Versa Fast Cordset U-15518
 79.99  
Varian Analog/digital I/o Interface E17300320 Rev F
Varian Analog/digital I/o Interface E17300320 Rev F
 999.95  
Accufiber 100C OPTICAL BOARD 702-1011-00
Accufiber 100C OPTICAL BOARD 702-1011-00
 700.00  
92h6687 / Power Supply /  12v 5a Vhr-10-12 / 308492-016 /  Dps-000585
92h6687 / Power Supply / 12v 5a Vhr-10-12 / 308492-016 / Dps-000585
 75.68  
Mxu10-10-a90s-xb13 / Indexer Centering Cylinder / Smc
Mxu10-10-a90s-xb13 / Indexer Centering Cylinder / Smc
 125.68  
Ar20-01b-b / Smc  Pressure Regulator  Ar20-01b-b / Smc
Ar20-01b-b / Smc  Pressure Regulator  Ar20-01b-b / Smc
 40.68  
TEL board IO SPIN #05 TKB7005
TEL board IO SPIN #05 TKB7005
 699.00  
TEL Tokyo Electron 1110-310763-12 Half Moon Stopper Piece #2 Used Working
TEL Tokyo Electron 1110-310763-12 Half Moon Stopper Piece #2 Used Working
 304.18  
TEL Tokyo Electron WZ10-102853-11 Barrel Shield New
TEL Tokyo Electron WZ10-102853-11 Barrel Shield New
 500.08  
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D + 00784 SLAVE VME Rev C
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D + 00784 SLAVE VME Rev C
 699.99  349.99  
AMAT 0150-00921 Cable Assembly  Chamber 1 MF Interlock 413811
AMAT 0150-00921 Cable Assembly  Chamber 1 MF Interlock 413811
 350.00  
Hitachi 710-S00242A Computer Controller
Hitachi 710-S00242A Computer Controller
 1 206.18  
344-0203// Amat Applied 0021-37393 Applied Matrials Components New
344-0203// Amat Applied 0021-37393 Applied Matrials Components New
 60.00  
130-0401// Amat Applied 0100-09307 Board Used
130-0401// Amat Applied 0100-09307 Board Used
 100.00  
352-0401// Amat Applied 0020-09284 Flag  Comb Sensor 3/16 Pitch New
352-0401// Amat Applied 0020-09284 Flag  Comb Sensor 3/16 Pitch New
 20.00  
4022.483.30982 / Cover Red Lockdown / Asml
4022.483.30982 / Cover Red Lockdown / Asml
 2 200.82  
Genus 2139-00 Rev B Solenoid Driver Board Art 2312-00 Fab 2310-00
Top-Rated Plus Seller Genus 2139-00 Rev B Solenoid Driver Board Art 2312-00 Fab 2310-00
 149.98  
Interloop I/O Driver Model 231
Interloop I/O Driver Model 231
 249.99  167.49  
Cymer laser 08-05003-1 board
Cymer laser 08-05003-1 board
 549.00  
Tel Tokyo Electron 3d80-000244-v6 Gas Box Ufc-4ws-c13s#c
Tel Tokyo Electron 3d80-000244-v6 Gas Box Ufc-4ws-c13s#c
 700.00  
Calweld 839-068732-002 Wldmt he input Sem-i-138=2m24
Calweld 839-068732-002 Wldmt he input Sem-i-138=2m24
 299.95  
Fuji Electric Cp32fm/1 Cp32f-m001 1a 220vac Unmp
Fuji Electric Cp32fm/1 Cp32f-m001 1a 220vac Unmp
 28.00  
Contrex Pcb Assy 8100-0550 Rev B
Contrex Pcb Assy 8100-0550 Rev B
 199.95  181.95  
Thermco Systems Assy 600056-03a Rev D
Thermco Systems Assy 600056-03a Rev D
 99.99  
350-0203// Amat Applied 0020-29960 Cover Insulator comer Vac Cap [used]
350-0203// Amat Applied 0020-29960 Cover Insulator comer Vac Cap [used]
 30.00  
Inficon 921-407-g1 Controller
Inficon 921-407-g1 Controller
 6 999.95  
01079-80098 /    Sktbd 0s4 Dor Iii Fbga 15mm 12site/  Advantest
01079-80098 / Sktbd 0s4 Dor Iii Fbga 15mm 12site/ Advantest
 475.88  
Serpar Ross J3573a5888
Serpar Ross J3573a5888
 1 000.00  
Rohde Schwarz ZV-Z30  1134.4293.02 TOSM 3.5 mm Cal Kit
Rohde Schwarz ZV-Z30 1134.4293.02 TOSM 3.5 mm Cal Kit
 950.00  
JEL Controller Module 04430-00501
JEL Controller Module 04430-00501
 2 500.00  
Fdk Rn603620md Line Filters Lot Of 4
Fdk Rn603620md Line Filters Lot Of 4
 79.95  
0015-09417 Etch  Clamp Lid  Hinge
0015-09417 Etch  Clamp Lid  Hinge
 140.00  
Sensor  Kmfa-015479
Sensor  Kmfa-015479
 178.00  
Scientific Technologies XIBM MS4336 Minisafe
Scientific Technologies XIBM MS4336 Minisafe
 500.00  
3163000 / Pc / Btu Engineering - Bruce
3163000 / Pc / Btu Engineering - Bruce
 215.68  
130-0303// Hana Kvme-21j1 Board Used
130-0303// Hana Kvme-21j1 Board Used
 600.00  
Mencom MCG-11 Nickel Plated Cable Gland Connector
Mencom MCG-11 Nickel Plated Cable Gland Connector
 19.99  
Nikon 4S013-570 Interface Control Card PCB MIS-PACONX4S NSR-S205C Used Working
Nikon 4S013-570 Interface Control Card PCB MIS-PACONX4S NSR-S205C Used Working
 1 510.17  1 057.12  
BTU 600 Gas Saturator for H2 / Hydrogen
BTU 600 Gas Saturator for H2 / Hydrogen
 4 500.00  
Varian Implanter Suppression Electrode (Graphite) E17026540 (55073)
Varian Implanter Suppression Electrode (Graphite) E17026540 (55073)
 60.00  
Guide  Upper Unloader  200mm 402608
Guide  Upper Unloader  200mm 402608
 450.00  
Altera Cyclone P1GBV06-0069 Card  USED
Altera Cyclone P1GBV06-0069 Card  USED
 50.00  
AMAT 0150-90651 Cable Assembly "9F.03/9E.P5 7k .C1 411423
AMAT 0150-90651 Cable Assembly "9F.03/9E.P5 7k .C1 411423
 300.00  
04-706363-01 / Switch  Pressure / Whitman Controls
04-706363-01 / Switch  Pressure / Whitman Controls
 65.68  
AMAT 0720-01240 Conn HSG Shunt 2 PG 2 POS For .025 Post  451823
AMAT 0720-01240 Conn HSG Shunt 2 PG 2 POS For .025 Post  451823
 5.00  
Tla-7001 / Control / Eci Technology
Tla-7001 / Control / Eci Technology
 320.82  
AGGREGATE LINK INTERFACE 4331c EQUALIZATION INTRAOFFICE ELECTRONIC PCB BOARD
AGGREGATE LINK INTERFACE 4331c EQUALIZATION INTRAOFFICE ELECTRONIC PCB BOARD
 178.22  
System Interlock and Control Network Controller F8429-1  0318124  450529
System Interlock and Control Network Controller F8429-1  0318124  450529
 495.00  
HP Binary Interface PCB 10746-60001
HP Binary Interface PCB 10746-60001
 849.99  424.99  
CK-NN60-20 Motor Servo  CK-NN60-20 With Precision Gearbox / RATIO: 20:1 / 80MPD5
CK-NN60-20 Motor Servo  CK-NN60-20 With Precision Gearbox / RATIO: 20:1 / 80MPD5
 520.00  
SS-DSTW4 Valve  1/4"
SS-DSTW4 Valve  1/4"
 520.00  
Asml 4022.646.69632 Cseb Sem-i-704=9a38
Asml 4022.646.69632 Cseb Sem-i-704=9a38
 499.90  
Souriau 08-27t 851-06ec 14-19 P50 851-06ec14-19p50
Souriau 08-27t 851-06ec 14-19 P50 851-06ec14-19p50
 60.00  
Hp Indigo Ca254-02701 Ca254-04014 Scu Module
Hp Indigo Ca254-02701 Ca254-04014 Scu Module
 425.00  
Versatyme Vs16-12 Gating & Display Board
Versatyme Vs16-12 Gating & Display Board
 100.00  
Novellus 03-118439-01 Cable  406344
Novellus 03-118439-01 Cable  406344
 250.00  
Semifusion Stage Servo Controller 820721 model 150
Semifusion Stage Servo Controller 820721 model 150
 199.99  133.99  
Phase Metrics Clock Pattern Board 550608000 REV 2
Phase Metrics Clock Pattern Board 550608000 REV 2
 100.00  67.00  
Ultratech Stepper Alignment Analog Board 03-20-01321 Rev. B
Ultratech Stepper Alignment Analog Board 03-20-01321 Rev. B
 299.99  149.99  
Whedco Vme 3550 Servo Module Board 17003569 Rev B
Whedco Vme 3550 Servo Module Board 17003569 Rev B
 100.00  67.00  
Ultratech Stepper 503.265702 / Semifusion model 150 stage servo controller
Ultratech Stepper 503.265702 / Semifusion model 150 stage servo controller
 299.99  149.99  
Asm Technology 2520834-21 Pwba Interconnect Robot Pcb Board
Asm Technology 2520834-21 Pwba Interconnect Robot Pcb Board
 250.00  
K658a004 / Pressure Sensor / Transducer / Edwards
K658a004 / Pressure Sensor / Transducer / Edwards
 308.00  
2017  Cradle-Short Qtz. Flg.-Chamber P/N: 02-188319-01
2017 Cradle-Short Qtz. Flg.-Chamber P/N: 02-188319-01
 1 400.00  
Component  Ptf Teach 47295701
Component  Ptf Teach 47295701
 90.00  
MEDIUM CURRENT ELS FACE PLATE 7142-0019-0002 REV 1 New Other
MEDIUM CURRENT ELS FACE PLATE 7142-0019-0002 REV 1 New Other
 230.00  
Arc Chamber Mounting Block Repeller End (42003) 4710-0069-0001
Arc Chamber Mounting Block Repeller End (42003) 4710-0069-0001
 265.00  
Arc Chamber Mounting Block Filament End (42002) 4710-0070-0001
Arc Chamber Mounting Block Filament End (42002) 4710-0070-0001
 265.00  
1497) [used] Disco Dapb1170-01-01
1497) [used] Disco Dapb1170-01-01
 395.01  
Entegris PFA 1" Plug End Cap 1-7/16" Hex P16 P-16
Top-Rated Plus Seller Entegris PFA 1" Plug End Cap 1-7/16" Hex P16 P-16
 13.99  
Varian Implanter Filament Clamp (Molybdenum) Electro-graph E17036930
Varian Implanter Filament Clamp (Molybdenum) Electro-graph E17036930
 200.00  
TEL Tokyo Electron D129962-062S Dark Space Shield New Surplus
TEL Tokyo Electron D129962-062S Dark Space Shield New Surplus
 168.07  
Amat 0620-00806  Dry Nova Jbox Cables Assy 6.5m. 411543
Amat 0620-00806  Dry Nova Jbox Cables Assy 6.5m. 411543
 850.00  
177-0404// Amat Applied 0050-88506 Applied Matrials Components [used]
177-0404// Amat Applied 0050-88506 Applied Matrials Components [used]
 100.00  
7450-0032-07 RING  SLIP-FREE   6IN   7100-5835-01A  L version
7450-0032-07 RING  SLIP-FREE  6IN   7100-5835-01A  L version
 1 500.00  
Pn 99-45108-01  Harn Assy  Intcon Efc
Pn 99-45108-01  Harn Assy  Intcon Efc
 22.22  
Smc Ise30-01-65 Nsmp
Smc Ise30-01-65 Nsmp
 101.00  
Nikon 4S082-592AN Guide Assembly Used Working
Nikon 4S082-592AN Guide Assembly Used Working
 2 755.05  1 377.52  
3884 HITACHI Type: LP12-II (01X001201-2) Load Port.
3884 HITACHI Type: LP12-II (01X001201-2) Load Port.
 1 800.00  
KOKUSAI CX1302 / Free Expedited Shipping
KOKUSAI CX1302 / Free Expedited Shipping
 499.00  
Ebw3-10/10kz13010156  10kz13011083 Ebw3 S0.7 848747213
Ebw3-10/10kz13010156 10kz13011083 Ebw3 S0.7 848747213
 189.99  125.39  
Tel Gfc-drv 3108-060021-11 3181-060021-13 2184-020214-11
Tel Gfc-drv 3108-060021-11 3181-060021-13 2184-020214-11
 149.00  
Dr-7 0-20-0605-0050 Output Board / 1.2-0605-0057 Input Board
Dr-7 0-20-0605-0050 Output Board / 1.2-0605-0057 Input Board
 155.00  
Convex 20001-10-12-lu340cn3 Circuit Board W/ Mount
Convex 20001-10-12-lu340cn3 Circuit Board W/ Mount
 155.00  
Unit 3165 Mass Flow Controller  Tag #00
Unit 3165 Mass Flow Controller Tag #00
 268.00  
Axcelis Exit Shield Outer 17100521 REV A (99576)
Axcelis Exit Shield Outer 17100521 REV A (99576)
 360.00  
UNIT UFC-1200A MASS FLOW CONTROLLER GAS He / 50 SCCM
UNIT UFC-1200A MASS FLOW CONTROLLER GAS He / 50 SCCM
 700.00  
MKS Instruments 9620-0591 Rev.A HPS Heater Jacket W/ MKS 99H0544 Heater Adapter
MKS Instruments 9620-0591 Rev.A HPS Heater Jacket W/ MKS 99H0544 Heater Adapter
 349.99  
TEL Tokyo Electron TAB216/1/VT1-R Interface Board PCB CX08-050026-11 Spare
TEL Tokyo Electron TAB216/1/VT1-R Interface Board PCB CX08-050026-11 Spare
 2 008.19  
MKS KT10013-02 Remote Monitor Unit RMU
Top-Rated Plus Seller MKS KT10013-02 Remote Monitor Unit RMU
 129.99  
FhG-IBMT Sa#111-2009 lens type 2008_B Transducer
FhG-IBMT Sa#111-2009 lens type 2008_B Transducer
 2 500.00  
Verteq 108300-1 58204  CE MEG Power Filter  406362
Verteq 108300-1 58204  CE MEG Power Filter  406362
 350.00  
7450-0059-06 RING  SLIP-FREE W/ NO FLAT 6 INCH  J version
7450-0059-06 RING  SLIP-FREE W/ NO FLAT 6 INCH  J version
 1 500.00  
Pressure Regulating Valves With Gas Canister
Pressure Regulating Valves With Gas Canister
 160.00  
0240-31575 KIT  HEATING GATE VALVE  Applied materials...KIT.
0240-31575 KIT  HEATING GATE VALVE  Applied materials...KIT.
 295.00  
Imaging Technology Incorporated Rb14/n2956 5300-000
Imaging Technology Incorporated Rb14/n2956 5300-000
 140.00  
Did Sprinting Die
Did Sprinting Die
 17.96  
Versatyme Vs16-15 Output Board
Versatyme Vs16-15 Output Board
 100.00  
Lot of Probe Technology Probes: BG10P2.0F8.  100 Pack.  New Old Stock  <
Lot of Probe Technology Probes: BG10P2.0F8. 100 Pack. New Old Stock <
 49.99  
Unit 1665 Mass Flow Controller  Tag #0
Unit 1665 Mass Flow Controller Tag #0
 268.00  
CKD Pressure controller G VYX-0276-CONT
CKD Pressure controller G VYX-0276-CONT
 349.00  
Nor-Cal Production CDG025T31NW2
Nor-Cal Production CDG025T31NW2
 55.00  
Veriflo Rgltr Assy Press 0-30psi Out 0-100slm 5r 45800978-1 Amat 3800-00063
Veriflo Rgltr Assy Press 0-30psi Out 0-100slm 5r 45800978-1 Amat 3800-00063
 25.00  
Veriflo 45800047PA Regulator SQ420E1003PXFS8MMM Inlet 1.72 MPa (used working)
Veriflo 45800047PA Regulator SQ420E1003PXFS8MMM Inlet 1.72 MPa (used working)
 150.00  105.00  
RECIF Technologies CPUCH0027A CPU Board REC0027A PCB Nikon NSR System Used
RECIF Technologies CPUCH0027A CPU Board REC0027A PCB Nikon NSR System Used
 808.18  
Electron Difusion Devices 1000d Low Viscosity Dispenser (yx15)
Top-Rated Plus Seller Electron Difusion Devices 1000d Low Viscosity Dispenser (yx15)
 150.00  112.50  
145-0401// Cti 8032222g005 Cti Cable Used
145-0401// Cti 8032222g005 Cti Cable Used
 250.00  
6338-000 / Temp Control-cal 1 79235r Parker-hanninfin / Sporlan
6338-000 / Temp Control-cal 1 79235r Parker-hanninfin / Sporlan
 115.68  
442-0401// Oriental Motor Asm66mc-h100 (short Cable) Motor [used]
442-0401// Oriental Motor Asm66mc-h100 (short Cable) Motor [used]
 200.00  
Convex 20001-10-12-lu340cn3 Circuit Board W/ Mount
Convex 20001-10-12-lu340cn3 Circuit Board W/ Mount
 155.00  
Unit Ufc-1200a Mass Flow Controller Gas N2 / 100sccm
Unit Ufc-1200a Mass Flow Controller Gas N2 / 100sccm
 700.00  
Lot Of 2 Cabletest Hr-lv Sc Rev. 3 Boards P/n: 20-26020  Hrz-lv-ssm *for Parts*
Lot Of 2 Cabletest Hr-lv Sc Rev. 3 Boards P/n: 20-26020  Hrz-lv-ssm *for Parts*
 100.00  
0302-0187-00 20-035-023
0302-0187-00 20-035-023
 2 000.00  
EFFEKTA EFF.1500 I-NET 19"-ST4 UPS  Uninterruptible Power Supply
EFFEKTA EFF.1500 I-NET 19"-ST4 UPS  Uninterruptible Power Supply
 299.00  
Matrix Integrated Systems 1000-0068 Processor Board PCB System 10 Used Working
Matrix Integrated Systems 1000-0068 Processor Board PCB System 10 Used Working
 508.16  
KV Automation 4022.480.63152 Manifold Nikon Reticle Loader Used Working
KV Automation 4022.480.63152 Manifold Nikon Reticle Loader Used Working
 708.11  
999-9999// Amat Applied 0040-32449 (delivery 6 Weeks) Lid pumping [2nd New]
999-9999// Amat Applied 0040-32449 (delivery 6 Weeks) Lid pumping [2nd New]
 2 500.00  
icon STD A 302-030-03 SN 21014-20
icon STD A 302-030-03 SN 21014-20
 499.00  
990-4255-001 / Board Pneu  Ldi Pneutronic / Pneutronics
990-4255-001 / Board Pneu  Ldi Pneutronic / Pneutronics
 70.82  
Turner Model 360 Concentration Computer   Powers Up   SR309x
Turner Model 360 Concentration Computer Powers Up SR309x
 49.99  
Ae Navigator-1013  /  3155126-042  A   Rf Match
Ae Navigator-1013 / 3155126-042 A Rf Match
 3 500.00  
Novellus -- 10-301567-00 -- New
Novellus -- 10-301567-00 -- New
 50.00  
Lsi Pwb Assy 6200024p-5
Top-Rated Plus Seller Lsi Pwb Assy 6200024p-5
 50.00  
Tegal Pcb 03600-22-040
Tegal Pcb 03600-22-040
 499.00  
LTX 865-0242-00 Model VS276 BOARD  TH-81 HIGH VOLTAGE SUPPLY
LTX 865-0242-00 Model VS276 BOARD  TH-81 HIGH VOLTAGE SUPPLY
 650.00  
SPECTRA-PHYSICS 7310 Remote
SPECTRA-PHYSICS 7310 Remote
 499.00  
Drytek / Lam Lower Electrode Microwave Assy 2301838
Top-Rated Plus Seller Drytek / Lam Lower Electrode Microwave Assy 2301838
 2 499.00  1 999.20  
Ae Navigator-1013  /  3155126-014 A  Rf Match
Ae Navigator-1013 / 3155126-014 A Rf Match
 3 500.00  
Ae Navigator-1013  /  3155126-018 A  Rf Match
Ae Navigator-1013 / 3155126-018 A Rf Match
 2 999.00  
Applied Materials 0200-03314  12" Producer Lift Pin
Applied Materials 0200-03314  12" Producer Lift Pin
 60.00  
Hitachi High Technologies 1-807879 PCB AI16-2 New
Hitachi High Technologies 1-807879 PCB AI16-2 New
 611.08  
E5cs-q1pu-w / Temp Controller / Omron
E5cs-q1pu-w / Temp Controller / Omron
 268.68  
Technopark Mine M-200 5-Channel RS-232C Multiplexer Working Surplus
Technopark Mine M-200 5-Channel RS-232C Multiplexer Working Surplus
 411.19  
Tel Alpha 805c Boat Elevator
Tel Alpha 805c Boat Elevator
 5 499.99  
MCDOWELL & COMPANY 1100 Aluminum Spindle Bottom PL Mod
MCDOWELL & COMPANY 1100 Aluminum Spindle Bottom PL Mod
 507.18  
ASM 16-187453D01 SUPPORT DTS SUSCEPTOR E3000 New Surplus
ASM 16-187453D01 SUPPORT DTS SUSCEPTOR E3000 New Surplus
 1 006.18  
Varian Arc Chamber Mounting Bracket (Stainless Steel) E17063140 New Other
Varian Arc Chamber Mounting Bracket (Stainless Steel) E17063140 New Other
 230.00  
Omhart Heater Controller PRSYAY-0045175
Omhart Heater Controller PRSYAY-0045175
 190.00  
HP hewlett packard 59310-60101 board w/ warranty
HP hewlett packard 59310-60101 board w/ warranty
 450.00  
AMAT 0100-00035 Rev.B  0298-2  0110-00035 Rev.P2  Mano Range Select. 412497
AMAT 0100-00035 Rev.B  0298-2  0110-00035 Rev.P2  Mano Range Select. 412497
 295.00  
103h7522-7051 / Stepping Motor Step-syn 0.72 Deg Step / Sanyo Denki
103h7522-7051 / Stepping Motor Step-syn 0.72 Deg Step / Sanyo Denki
 395.03  
TEL Tokyo Electron HA-026 RY CHEM #02 Relay Board PCB PHA-015-0 Used Working
TEL Tokyo Electron HA-026 RY CHEM #02 Relay Board PCB PHA-015-0 Used Working
 403.18  
Bimba Fo-042-3fmvee1 Air Cylinder Wetstation Door
Bimba Fo-042-3fmvee1 Air Cylinder Wetstation Door
 50.00  
AMAT 0150-97178 CA 3X4H.P2/3X7D Cable  410776
AMAT 0150-97178 CA 3X4H.P2/3X7D Cable  410776
 350.00  
3099  SCP Global PVDF Pneumatic Lid Lift
3099 SCP Global PVDF Pneumatic Lid Lift
 520.00  
Force Computers 880-12535-101 Control Board PCB Card Working Surplus
Force Computers 880-12535-101 Control Board PCB Card Working Surplus
 1 002.12  
Boc Edwards D37320272 / D37320275 Control Board
Boc Edwards D37320272 / D37320275 Control Board
 130.00  
Orbot Instruments 710-26351-DD WF Control PCB Card AMAT WF 736 DUO Used Working
Orbot Instruments 710-26351-DD WF Control PCB Card AMAT WF 736 DUO Used Working
 408.16  
5325  AMAT/Alpha Numeric 5400-D-0037 (672530) Board
5325 AMAT/Alpha Numeric 5400-D-0037 (672530) Board
 680.00  
2208  PVDF Wet Tank & RK MDV Pneumatic Tank Dumps
2208 PVDF Wet Tank & RK MDV Pneumatic Tank Dumps
 600.00  
342-0502// Amat Applied 0020-13597 Bracket  Tall Manifold  Hec New
342-0502// Amat Applied 0020-13597 Bracket  Tall Manifold  Hec New
 100.00  
Tamagawa Seiki Tbl Driver / Ta8077n2
Tamagawa Seiki Tbl Driver / Ta8077n2
 100.00  
GE 2337735-2-1  FCIB V2 Board
GE 2337735-2-1 FCIB V2 Board
 300.00  
TEL Tokyo Electron 2610-100723-V1 Exhaust Ring PM Y BEL Used Working
TEL Tokyo Electron 2610-100723-V1 Exhaust Ring PM Y BEL Used Working
 803.15  
Mektronix Technology MC-03 3-axis Motion Controller
Mektronix Technology MC-03 3-axis Motion Controller
 1 900.00  
Bimba Mrs-  087-pblqcx-17 Cable
Bimba Mrs-  087-pblqcx-17 Cable
 299.00  
Hitachi DI0-01N Control PCB M-712E Used Working
Hitachi DI0-01N Control PCB M-712E Used Working
 707.12  
Mitsubishi FR-Z123-0.4K Freqrol-Z123 Inverter 0.4KW  3A
Mitsubishi FR-Z123-0.4K Freqrol-Z123 Inverter 0.4KW  3A
 491.19  
GSI 229.1533.00 Power Distribution Assembly. Brand New!
GSI 229.1533.00 Power Distribution Assembly. Brand New!
 250.00  
Teledyne Db-20  Pressure : 308 Microns  Switch  Vaccum
Teledyne Db-20  Pressure : 308 Microns  Switch  Vaccum
 500.00  
Tokyo Electron Limited Alpha 8S Carrier Transfer  (Z-Axis)
Tokyo Electron Limited Alpha 8S Carrier Transfer  (Z-Axis)
 2 499.99  
OWIS B-0204203X Art Nr. 42.060.0133 Motorized Z-Stage with 14 day warranty
OWIS B-0204203X Art Nr. 42.060.0133 Motorized Z-Stage with 14 day warranty
 495.00  
Horibastec HC-100 Readout Controller
Horibastec HC-100 Readout Controller
 79.99  
MOUNTZ Torque Screwdriver  Red  020069-PRESET 8LBF.IN
MOUNTZ Torque Screwdriver  Red  020069-PRESET 8LBF.IN
 125.00  
Fujikin FCST1005MZFC-4CL2-F500-SIH4-U037-EP Mass Flow Controller
Top-Rated Plus Seller Fujikin FCST1005MZFC-4CL2-F500-SIH4-U037-EP Mass Flow Controller
 64.99  
Edwards U20001107p Eason Control Box Nry23b0000 Nry23b101
Edwards U20001107p Eason Control Box Nry23b0000 Nry23b101
 399.00  
216256 Valve  1/4" DP Valve VE N/Open
216256 Valve  1/4" DP Valve VE N/Open
 520.00  
Versatyme Vs16-16 Panel Support Board
Versatyme Vs16-16 Panel Support Board
 100.00  
Crane Inspection Control Interlock System Inspect Logic Model 5700 (2)
Crane Inspection Control Interlock System Inspect Logic Model 5700 (2)
 975.00  
WinSystems PS24T12  POWER SUPPLY  DIGITAL CAMERA  CZ-60*
WinSystems PS24T12  POWER SUPPLY  DIGITAL CAMERA  CZ-60*
 29.99  
Lighthouse Universal Manifold and Controller
Lighthouse Universal Manifold and Controller
 2 087.00  
Amat 0021-03739 Pumping Channel  Anodized  3 Piece   New
Amat 0021-03739 Pumping Channel  Anodized  3 Piece   New
 1 200.00  
Philips Cable Gantry XFMR to 3 KVA Ups Inlet - 453567368121
Top-Rated Plus Seller Philips Cable Gantry XFMR to 3 KVA Ups Inlet - 453567368121
 50.95  17.83  
Amat 0650-01068 Cmptr Programming Console   New
Amat 0650-01068 Cmptr Programming Console   New
 1 300.00  
Robot Arm Robot Effector with optical sensor Robot Accessories
Robot Arm Robot Effector with optical sensor Robot Accessories
 2 700.00  
Applied Materials  Flange Insulating Taper 0020-04277
Applied Materials Flange Insulating Taper 0020-04277
 349.99  
Exynetics Electroglas DCM3 Display Control Module Used Working
Exynetics Electroglas DCM3 Display Control Module Used Working
 2 005.12  
TEL Tokyo Electron 3281-000138-13 PCB-CTRL Assembly Used Working
TEL Tokyo Electron 3281-000138-13 PCB-CTRL Assembly Used Working
 712.09  
Cfm Technologies Ipa Alarm In Vessel
Cfm Technologies Ipa Alarm In Vessel
 129.99  
808101364 / Valve  Festo Jmfh-5 - 1-8 / Asml
808101364 / Valve  Festo Jmfh-5 - 1-8 / Asml
 243.00  
AMAT 0100-09020 Fuse Board  PCB  FAB 0110-09020  AMP 531796-2  414742
AMAT 0100-09020 Fuse Board  PCB  FAB 0110-09020  AMP 531796-2  414742
 200.00  
Applied Materials Prom Board Assy # 03-81830-00 Schem 06-81830-00 Rev F
Applied Materials Prom Board Assy # 03-81830-00 Schem 06-81830-00 Rev F
 99.99  
Trace Tek TTC-1 Tyco Thermal Controls / NICE UNIT
Top-Rated Plus Seller Trace Tek TTC-1 Tyco Thermal Controls / NICE UNIT
 89.99  
2100-0008-1000-016 pcb
2100-0008-1000-016 pcb
 499.00  
AIHARA ELECTRIC CO.  3AUL-1.5KE  Transformer   4F
AIHARA ELECTRIC CO. 3AUL-1.5KE Transformer 4F
 300.00  270.00  
Parker Veriflo 45800946-4  Ir4003s1k4pxx4bp Pressure Regulator
Parker Veriflo 45800946-4  Ir4003s1k4pxx4bp Pressure Regulator
 200.00  
Tecnomara Tecnomat 125 Automatic Filler
Tecnomara Tecnomat 125 Automatic Filler
 500.00  
NOS QualiTau Oven Current Module
NOS QualiTau Oven Current Module
 1 999.99  
NTE Electronics 501-0033 POTENTIOMETER 2 WATT 500 OHM 1/4" DIAMETER SHAFT
NTE Electronics 501-0033 POTENTIOMETER 2 WATT 500 OHM 1/4" DIAMETER SHAFT
 19.97  
Proface GP570-TC11 GP570TC11 Graphic Panel As Is
Proface GP570-TC11 GP570TC11 Graphic Panel As Is
 277.47  194.23  
Verteq MD-ZA-03 MD Frequency Generator Model 1096736.1
Verteq MD-ZA-03 MD Frequency Generator Model 1096736.1
 607.18  
7.01.049 / Converter  Board 522133 Tempress  / General Signal
7.01.049 / Converter Board 522133 Tempress / General Signal
 125.68  
Glassman High Voltage Power Supply   (dw15)
Glassman High Voltage Power Supply (dw15)
 650.00  487.50  
Wentworth Fasprober Manual Wafer Prober (MP-1300)
Wentworth Fasprober Manual Wafer Prober (MP-1300)
 2 199.90  
Nikon 4S019-238 AD-LSO1 Board PCB NSR S307E Used Working
Nikon 4S019-238 AD-LSO1 Board PCB NSR S307E Used Working
 808.12  
SVG 99-38150-02 Shuttle Arm Assembly  6.19 Random 90 Series
SVG 99-38150-02 Shuttle Arm Assembly  6.19 Random 90 Series
 874.99  
Stratitec Time IPS152T Intelegent Personnel System Speakers 2 Lot Preowned
Stratitec Time IPS152T Intelegent Personnel System Speakers 2 Lot Preowned
 23.50  
ASML Wet Imaging Secondary Used 4022.640.67051  4022.476.01351 SEM-I-898=9A4A
ASML Wet Imaging Secondary Used 4022.640.67051  4022.476.01351 SEM-I-898=9A4A
 1 999.90  
Advanced Energy Lm-1.25k Switch Box
Advanced Energy Lm-1.25k Switch Box
 649.99  
346-0302// Amat Applied 0030-70084 Holdown Ring viewport Used
346-0302// Amat Applied 0030-70084 Holdown Ring viewport Used
 80.00  
Ushio UVFLS-172-VP-QC
Ushio UVFLS-172-VP-QC
 39 500.00  
Abbott Transistor V05ds-43.3a
Abbott Transistor V05ds-43.3a
 299.99  149.99  
Balzers Contact Flange
Balzers Contact Flange
 99.99  66.99  
AMAT Applied Materials 0021-04242 DK Space Cover Shield Manufacturer Refurbished
AMAT Applied Materials 0021-04242 DK Space Cover Shield Manufacturer Refurbished
 456.18  
Amat 0010-37549 Assembly  Iscan Module
Amat 0010-37549 Assembly  Iscan Module
 3 000.00  
Hitachi 571-7701 IMGIF Processor PCB Card I-900SRT Used Working
Hitachi 571-7701 IMGIF Processor PCB Card I-900SRT Used Working
 803.11  
Koganei 4K199-395 Pneumatic Box Assembly Nikon NSR-S307E Used Working
Koganei 4K199-395 Pneumatic Box Assembly Nikon NSR-S307E Used Working
 1 207.00  844.90  
Amat 0190-15756 Specification  Sst 4-channel Pci Devicenet
Amat 0190-15756 Specification  Sst 4-channel Pci Devicenet
 1 500.00  
NTE Electronics 504-0065 KNOB MACHINED ALUMINUM  .750" DIA .236" DIA SHAFT
NTE Electronics 504-0065 KNOB MACHINED ALUMINUM .750" DIA .236" DIA SHAFT
 33.04  
Nikon KXG76203 Lamp Control Module DIPSW 4S008-035 NSR-S205C Working Surplus
Nikon KXG76203 Lamp Control Module DIPSW 4S008-035 NSR-S205C Working Surplus
 610.19  
Proteus Industries 9808SA10F3P6 24 V Liquid Flow Meter
Proteus Industries 9808SA10F3P6 24 V Liquid Flow Meter
 49.99  
Convex 20001-10-12-lu340cn3 Circuit Board W/ Mount
Convex 20001-10-12-lu340cn3 Circuit Board W/ Mount
 155.00  
Yamatake DMC55CVR40001000 Processor PCB Card 4S014-263 Nikon NSR-S620D Spare
Yamatake DMC55CVR40001000 Processor PCB Card 4S014-263 Nikon NSR-S620D Spare
 8 007.19  3 202.88  
KAIJO VME Board SVC-502 free ship
KAIJO VME Board SVC-502 free ship
 329.00  
ASM 16-178672D01 SUPPORT LARGE DIA SUSCEPTOR New Surplus
ASM 16-178672D01 SUPPORT LARGE DIA SUSCEPTOR New Surplus
 1 006.18  
Nemic Lambda INDS200-48S Board Used ME294V02996
Nemic Lambda INDS200-48S Board Used ME294V02996
 177.00  
AMAT P/N 0020-31205 Blocker Plate 100/150mm BWCVD. Stock # 910
AMAT P/N 0020-31205 Blocker Plate 100/150mm BWCVD. Stock # 910
 190.00  
103-0601// Amat Applied 0040-20068 Bellows Lifter Pvd [new]
103-0601// Amat Applied 0040-20068 Bellows Lifter Pvd [new]
 1 080.00  
Ebrain 650-FA03B Interface Board PCB Ebrains Used Working
Ebrain 650-FA03B Interface Board PCB Ebrains Used Working
 302.19  
Omron Amplifier Unit V640-HAM11
Omron Amplifier Unit V640-HAM11
 99.99  
Smc P49822015
Smc P49822015
 40.00  
ASM Aft Door Cable 02-147099-01 02-147099-02 STI MA-20 Lot of 9 New Surplus
ASM Aft Door Cable 02-147099-01 02-147099-02 STI MA-20 Lot of 9 New Surplus
 403.19  
The Barden Corp Barden Precision Bearings 100H 0-9 Q6N ID-AWM-F-5-1-007
The Barden Corp Barden Precision Bearings 100H 0-9 Q6N ID-AWM-F-5-1-007
 25.00  
Bhk Inc. Analamp Uv Power Supply  Model 90-0003-01
Bhk Inc. Analamp Uv Power Supply  Model 90-0003-01
 144.99  
GESPAC GESMDC-1 9520 Board
GESPAC GESMDC-1 9520 Board
 650.00  
Semifusion Auto Photo Controller model 170
Semifusion Auto Photo Controller model 170
 74.99  52.49  
414-0301// Nsk Es2020c23-03 Driver [used/fast]
414-0301// Nsk Es2020c23-03 Driver [used/fast]
 1 300.00  
Nikon Elevator NSR-S307E Used Working
Nikon Elevator NSR-S307E Used Working
 1 201.12  840.78  
Dip Cdn494 Pcb Card
Dip Cdn494 Pcb Card
 999.95  
VAT Valve F26-60307-871 Assembly ID-AWS-018
VAT Valve F26-60307-871 Assembly ID-AWS-018
 350.00  
KONTRON PCB Board  CPX 18-22-90-A1   Trumpf number CPX 1682070
KONTRON PCB Board  CPX 18-22-90-A1  Trumpf number CPX 1682070
 1 199.90  
ProQuip 550353000 rev A 040353000TK rev A1 Track defect process 4
ProQuip 550353000 rev A 040353000TK rev A1 Track defect process 4
 239.00  
Beta Dyne BD150012 80W Dual DC/DC Converter
Beta Dyne BD150012 80W Dual DC/DC Converter
 250.00  
TRUMPF NNB 2248537  Elek. Ausr. NS_EMO_ Auftrennung_CH_S3 SEM-I-348
TRUMPF NNB 2248537  Elek. Ausr. NS_EMO_ Auftrennung_CH_S3 SEM-I-348
 299.90  
Nikon Pem Psd # 14036-3a
Nikon Pem Psd # 14036-3a
 149.00  
Amat 0090-93024 Series 1000 Power Supply  Used
Amat 0090-93024 Series 1000 Power Supply  Used
 6 000.00  
Patlite SEFW-D Modular Signal Tower 01820M Reseller Lot of 3 Used Working
Patlite SEFW-D Modular Signal Tower 01820M Reseller Lot of 3 Used Working
 154.16  
Tenta 87097
Tenta 87097
 140.00  
4C15-095 Replacement Filter Element for Finite HN4L-4C  0.01 Micron Particulate
4C15-095 Replacement Filter Element for Finite HN4L-4C  0.01 Micron Particulate
 67.62  
AMAT 0100-00035 Rev.B  0298-2  0110-00035 Rev.P2  Mano Range Select. 412498
AMAT 0100-00035 Rev.B  0298-2  0110-00035 Rev.P2  Mano Range Select. 412498
 295.00  
Varian Implanter Feed-Thru Manifold E17063120 55095
Varian Implanter Feed-Thru Manifold E17063120 55095
 360.00  
SWAGELOK NXT-3DK-DR8 D9NFH0760B Diaphragm Kit
SWAGELOK NXT-3DK-DR8 D9NFH0760B Diaphragm Kit
 59.99  
Control D621 Motion Controller Card PCB 9722 esMINT v2.7e/3/p/x/mx
Top-Rated Plus Seller Control D621 Motion Controller Card PCB 9722 esMINT v2.7e/3/p/x/mx
 499.00  
Nikon Vfu-x80s
Nikon Vfu-x80s
 250.58  
Hitachi N-EVCN 569-5559
Hitachi N-EVCN 569-5559
 50.00  
Matheson Nitrogen Purifier P300 Model Number 621-PBX  532
Matheson Nitrogen Purifier P300 Model Number 621-PBX 532
 80.30  
ASML Used 4022.640.82653 TFDB TWENTY FOUR(24V) DISTRIBUTION BOX SEM-I-313 =6B57
ASML Used 4022.640.82653 TFDB TWENTY FOUR(24V) DISTRIBUTION BOX SEM-I-313 =6B57
 699.90  
600-AKV-L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083
600-AKV-L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083
 240.00  
233-2583-34 lid domed sinrem 5300644A new
233-2583-34 lid domed sinrem 5300644A new
 299.99  
Axcelis Extraction Aperture - Graphite VIG Version 17099281 REV A (99181AV)
Axcelis Extraction Aperture - Graphite VIG Version 17099281 REV A (99181AV)
 280.00  
112166c04 / Plate - Electrode Top / Sts
112166c04 / Plate - Electrode Top / Sts
 1 221.68  
ASML Used 4022.646.02172 SDCB SEM-I-317=6B47
ASML Used 4022.646.02172 SDCB SEM-I-317=6B47
 499.90  
ASML Used 4022.642.19281 4022.631.24041 Esterline Pressure Systems SEM-I-534
ASML Used 4022.642.19281 4022.631.24041 Esterline Pressure Systems SEM-I-534
 499.90  
ASML Used TCBA 4022.668.05861 SEM-I-720=9A38
ASML Used TCBA 4022.668.05861 SEM-I-720=9A38
 499.90  
ASML Used 4022.640.07133  4022.640.04143 IBVB SEM-I-320=9A38
ASML Used 4022.640.07133  4022.640.04143 IBVB SEM-I-320=9A38
 499.90  
Hp Hstns-bc 59-s Used Asml 4022.664.57161  4022.664.44361 Sem-i-493=8f21
Hp Hstns-bc 59-s Used Asml 4022.664.57161  4022.664.44361 Sem-i-493=8f21
 499.90  
5075  Lintelle P/N: 02-056906-00 Assy.
5075 Lintelle P/N: 02-056906-00 Assy.
 1 500.00  
5076  Lintelle P/N: 02-056906-00 Assy.
5076 Lintelle P/N: 02-056906-00 Assy.
 1 500.00  
Machined TRE Forging RP65831
Top-Rated Plus Seller Machined TRE Forging RP65831
 65.35  42.48  
Novellus Systems 02-149841-02 C3 Vector 300mm Assembly 15-156474-02 Used
Novellus Systems 02-149841-02 C3 Vector 300mm Assembly 15-156474-02 Used
 812.15  
Newport Bias Meter DC Meter (?) AWM-F-2-2-006
Newport Bias Meter DC Meter (?) AWM-F-2-2-006
 350.00  
HA HINEAutomation Used HA-753 Aligner 900-0206 Rev.B SEM-I-1056=9G31
HA HINEAutomation Used HA-753 Aligner 900-0206 Rev.B SEM-I-1056=9G31
 999.90  
Phase Metrics AGC TA MOD E040622000-4 Rev 1 Board
Phase Metrics AGC TA MOD E040622000-4 Rev 1 Board
 149.99  100.49  
Ae Navigator-1013  /  3155126-017  Rf Match
Ae Navigator-1013 / 3155126-017 Rf Match
 2 999.00  
AMAT 0225-0923 Bracket  451825
AMAT 0225-0923 Bracket  451825
 5.00  
Ae Navigator-1013  /  3155126-032  Rf Match
Ae Navigator-1013 / 3155126-032 Rf Match
 3 500.00  
Shinko Sdp-v-1 Converter Unit Ac200/220 50/60hz
Shinko Sdp-v-1 Converter Unit Ac200/220 50/60hz
 199.95  
Msv11-mb / Digital Msv11-mb Memory / Digital
Msv11-mb / Digital Msv11-mb Memory / Digital
 280.68  
MOBILE BATTERY FOR HPiPAQ
MOBILE BATTERY FOR HPiPAQ
 19.00  
7200-1092-01 AG Associates Heatpulse RTP
7200-1092-01 AG Associates Heatpulse RTP
 4 500.00  
Packing Gasket P/N 1041
Packing Gasket P/N 1041
 25.00  
Amat 0140-03410 H/a Comm Port Server-fabs Microscan used
Amat 0140-03410 H/a Comm Port Server-fabs Microscan used
 300.00  
7310-2886-01 Rear Flange  DTC  No SST Tube welded
7310-2886-01 Rear Flange  DTC  No SST Tube welded
 3 000.00  
8411-2 / 24v Vac 50-60 Hz / Lark
8411-2 / 24v Vac 50-60 Hz / Lark
 750.68  
As303165 / Mag-phase Unit / Anaheim Automation
As303165 / Mag-phase Unit / Anaheim Automation
 650.68  
177-0501// Amat Applied 0050-88509 Applied Matrials Components [asis]
177-0501// Amat Applied 0050-88509 Applied Matrials Components [asis]
 300.00  
4s019-061 / Processor Card Pcb Avdrvx4ve / Nikon
4s019-061 / Processor Card Pcb Avdrvx4ve / Nikon
 799.88  
Ormec PMC-960 Rev. E PLC Control Board
Ormec PMC-960 Rev. E PLC Control Board
 149.00  
Ormec PMC-960 Rev. E PLC Control Board
Ormec PMC-960 Rev. E PLC Control Board
 149.00  
D37370030 Filter Interference  D37370030 iSSB / 200~460VAC / 16A / 50~60Hz
D37370030 Filter Interference  D37370030 iSSB / 200~460VAC / 16A / 50~60Hz
 165.00  
D37370030 Filter Interference D37370030 iSSA / 200~460VAC / 16A / 50~60Hz
D37370030 Filter Interference D37370030 iSSA / 200~460VAC / 16A / 50~60Hz
 180.00  
ST8101-034 Issue 5 PCB Board  423797
ST8101-034 Issue 5 PCB Board  423797
 250.00  
Machine Technology 2217605132 Processor II PCB Used Working
Machine Technology 2217605132 Processor II PCB Used Working
 904.10  
SMC 10-CDQSB12-10D-M9BVL 10CDQSB1210DM9BVL 1.0MPa COMPACT CYLINDER New
SMC 10-CDQSB12-10D-M9BVL 10CDQSB1210DM9BVL 1.0MPa COMPACT CYLINDER New
 20.00  
Pisco Fiber-dry Dmm-300
Pisco Fiber-dry Dmm-300
 120.00  
Fusion Systems Assy  61971 Rev.c  323244. 411627
Fusion Systems Assy  61971 Rev.c  323244. 411627
 150.00  
TEL Tokyo Electron HA-033 PCB Circuit Board DC/DC CONV #06 T-3044SS Used
TEL Tokyo Electron HA-033 PCB Circuit Board DC/DC CONV #06 T-3044SS Used
 355.16  
1697280-101a With 1698546 1010 1101 Assy 1900087-001h
1697280-101a With 1698546 1010 1101 Assy 1900087-001h
 149.99  98.99  
Cole Parmar Fiber-Lite Model 9745
Cole Parmar Fiber-Lite Model 9745
 99.00  
Av-075m-p / Angle Valve Pneumatic Actuator / Mdc
Av-075m-p / Angle Valve Pneumatic Actuator / Mdc
 265.68  
1101660 / Valve / Furon
1101660 / Valve / Furon
 686.03  
TEL Tokyo Electron HA-025 RY CHEM #02C Relay Board PCB PHA-015-0 Used Working
TEL Tokyo Electron HA-025 RY CHEM #02C Relay Board PCB PHA-015-0 Used Working
 403.18  
Masterflex - PharMed BPT Tubing - 1.42mm Diameter 100ft Length (UK) 95809-34
Masterflex - PharMed BPT Tubing - 1.42mm Diameter 100ft Length (UK) 95809-34
 162.69  
Amat Viista High Current Electrode Suppression Base - E17291970
Top-Rated Plus Seller Amat Viista High Current Electrode Suppression Base - E17291970
 199.95  149.96  
LINER GSD  3 HOLES  MOLYBDENUM  2.96 LONG New Other
LINER GSD  3 HOLES  MOLYBDENUM  2.96 LONG New Other
 120.00  
Marquip 6800-01289-0001 Pcb Assembly
Marquip 6800-01289-0001 Pcb Assembly
 300.00  
Thermocouple
Thermocouple
 199.00  
Fairchild Analog Controller 97750537 Rev. 4 Used Working
Fairchild Analog Controller 97750537 Rev. 4 Used Working
 1 007.12  
Asm 16-145384-01 Manifold-rh-upper Lamps-xl
Asm 16-145384-01 Manifold-rh-upper Lamps-xl
 307.18  
16x2 Inch  Susceptor  SiC   Base And Cover
16x2 Inch Susceptor  SiC   Base And Cover
 12 000.00  
Crane Inspection Control Interlock System Inspect Logic Model 5700 (1)
Crane Inspection Control Interlock System Inspect Logic Model 5700 (1)
 950.00  
TEL Tokyo Electron 3D10-150035-V1 Cooling Plate AHV50 Copper Used Working
TEL Tokyo Electron 3D10-150035-V1 Cooling Plate AHV50 Copper Used Working
 1 506.11  753.06  
Ac6842-02 / Pcb Module  Lhc / Sts
Ac6842-02 / Pcb Module  Lhc / Sts
 6 550.68  
Nikon Esd C43204 4s005-204 Ppd-ld
Nikon Esd C43204 4s005-204 Ppd-ld
 2 850.00  
Nikon Pressure Sensor Assembly NSR-S307E Used Working
Nikon Pressure Sensor Assembly NSR-S307E Used Working
 806.14  
Technicon 694-0055-09 Semiconductor Tool Component
Technicon 694-0055-09 Semiconductor Tool Component
 59.99  
Devicenet Dip297 Isolated Power Adapter
Devicenet Dip297 Isolated Power Adapter
 35.00  
Applied Materials Nitrogen Box 0010-E5310
Applied Materials Nitrogen Box 0010-E5310
 250.00  
Watlow  015042500  0310C-32  3/8" OD Insulator
Watlow 015042500 0310C-32 3/8" OD Insulator
 40.00  
4547  Applied Materials 0010-00285 System Controller AC Distributor Assy.
4547 Applied Materials 0010-00285 System Controller AC Distributor Assy.
 85.00  
General Electric Lpx1010-c2snw-1 Unmp
General Electric Lpx1010-c2snw-1 Unmp
 88.00  
7310-4755-01 g  SLIP 6" R2 VERSION  SLIP FREE RING
7310-4755-01 g  SLIP 6" R2 VERSION  SLIP FREE RING
 1 500.00  
Convex 20001-10-12-lu340cn3 Circuit Board W/ Mount
Convex 20001-10-12-lu340cn3 Circuit Board W/ Mount
 155.00  
7310-4755-01 g  SLIP 6" P2 VERSION  SLIP FREE RING
7310-4755-01 g  SLIP 6" P2 VERSION  SLIP FREE RING
 1 500.00  
Balzers BG M29 000 Power Supply PCB Card EPS 101 EPS101 Used Working
Balzers BG M29 000 Power Supply PCB Card EPS 101 EPS101 Used Working
 408.16  
Daifuku B255m Teaching Box
Daifuku B255m Teaching Box
 429.95  
Tegal 99-096-09 DIP Board PCB Rev. G Untested As-Is
Tegal 99-096-09 DIP Board PCB Rev. G Untested As-Is
 351.12  
4250  Systems Chemistry D-2000 SST Modular Dispense System
4250 Systems Chemistry D-2000 SST Modular Dispense System
 2 300.00  
Applied Materials/AMAT Ti Clamp Ring 8" (Part Number: 0020-20962)
Applied Materials/AMAT Ti Clamp Ring 8" (Part Number: 0020-20962)
 250.00  
Hitachi High Technologies 1D0-0034 Interface Board PCB A4X24R Working
Hitachi High Technologies 1D0-0034 Interface Board PCB A4X24R Working
 562.17  
Moeller Electric Faz2b10 Used
Moeller Electric Faz2b10 Used
 37.26  
500133888 Cable  500133888 / KAA4626-19-00 / DEVASYS Smbus 1.0 M Cable / Intel
500133888 Cable  500133888 / KAA4626-19-00 / DEVASYS Smbus 1.0 M Cable / Intel
 50.00  
SUNX LA-310P LA-310D Beam Sensor and LA-A1 Controller Used Working
SUNX LA-310P LA-310D Beam Sensor and LA-A1 Controller Used Working
 1 208.11  
E 137632    / Power Supply sdn 20-24-100 115-230vac 50-60hz 24v-20a 959443 / Sola
E 137632 / Power Supply sdn 20-24-100 115-230vac 50-60hz 24v-20a 959443 / Sola
 218.68  
Cps-5611-3a2lf / Switching Power Supply / Compuware
Cps-5611-3a2lf / Switching Power Supply / Compuware
 175.68  
Oerlikon 102211796 300mm Base Ring New
Oerlikon 102211796 300mm Base Ring New
 487.09  
4441 Applied Materials 0100-00060 Chopper Drive PWB
4441 Applied Materials 0100-00060 Chopper Drive PWB
 500.00  
Inductive Componets 1C-10115-1 Servo Motor MTR0059 BM15086 Used Working
Inductive Componets 1C-10115-1 Servo Motor MTR0059 BM15086 Used Working
 305.15  
Applied TST Port Coup 1/8" x 1/8-27" 106280668
Top-Rated Plus Seller Applied TST Port Coup 1/8" x 1/8-27" 106280668
 35.95  12.58  
Omron FH-1050-10 Vision Controller With FH-SM02 Camera Set (Demo Unit)
Omron FH-1050-10 Vision Controller With FH-SM02 Camera Set (Demo Unit)
 3 959.00  
Dfw Digital Solutions Assy 100-0004-001
Dfw Digital Solutions Assy 100-0004-001
 68.99  65.54  
Applied Materials AMAT 0040-54797
Applied Materials AMAT 0040-54797
 99.00  
740-a16 / Freq 47-63hz  Relay .5a 4.0va 1-4a / Thornton
740-a16 / Freq 47-63hz  Relay .5a 4.0va 1-4a / Thornton
 340.68  
ESI CKT 40839J 16 phase pos encoder module
ESI CKT 40839J 16 phase pos encoder module
 199.00  
DryTek 2800391E Dual Driver Module Board - General Signal
Top-Rated Plus Seller DryTek 2800391E Dual Driver Module Board - General Signal
 219.98  
04-708633-01 / Elevator Bellows / Metal Flex Welded Bellows  Inc
04-708633-01 / Elevator Bellows / Metal Flex Welded Bellows  Inc
 320.82  
Digital View Sv-n705 4167900-62 0106879-06 Nec Display
Digital View Sv-n705 4167900-62 0106879-06 Nec Display
 99.99  
Swagelok SS-HBVCR4-C
Swagelok SS-HBVCR4-C
 50.00  
Technicon 694-0055-06 Semiconductor Processing Component
Technicon 694-0055-06 Semiconductor Processing Component
 69.99  
Controlotron 995T CDU Teach Pendant Used Working
Controlotron 995T CDU Teach Pendant Used Working
 310.12  
Yamada AD Series Pulsation Damper 100 PSI Used Working
Yamada AD Series Pulsation Damper 100 PSI Used Working
 606.14  
Lucas Labs OVDS-7010 Reference Module ILVP-1001-NW Lot of 3 Untested As-Is
Lucas Labs OVDS-7010 Reference Module ILVP-1001-NW Lot of 3 Untested As-Is
 401.13  
FHT Future Hardware Technology 02-196604-01 Reactor Heater Cable New
FHT Future Hardware Technology 02-196604-01 Reactor Heater Cable New
 99.99  
Panasonic MSMD022S1S AC SERVO MOTOR
Panasonic MSMD022S1S AC SERVO MOTOR
 200.00  
MEDIUM CURRENT ELS FACE PLATE 7142-0019-0002 REV 1 New (40549)
MEDIUM CURRENT ELS FACE PLATE 7142-0019-0002 REV 1 New (40549)
 255.00  
Alphasem AS262-0-02 AS261-0-02 AS309-1-03 for SL9022
Alphasem AS262-0-02 AS261-0-02 AS309-1-03 for SL9022
 1 899.00  
AMAT 0020-23278 Upper Shield  12.9" Source  SST  424167
AMAT 0020-23278 Upper Shield  12.9" Source  SST  424167
 300.00  
Span Instruments Si Pressure Meter
Span Instruments Si Pressure Meter
 12.00  
SMC Compact Cylinder 10-CDQSB12-10D 10CDQSB1210D Used
SMC Compact Cylinder 10-CDQSB12-10D 10CDQSB1210D Used
 10.00  
Sun Solutions CD Volume 2 iForce Special Focus Java Technology. Sealed
Sun Solutions CD Volume 2 iForce Special Focus Java Technology. Sealed
 19.99  
Aval Data AVME-115A PCB Board  405831
Aval Data AVME-115A PCB Board  405831
 450.00  
Teravicta RT_Liadboard_Dock Rev a With TT1244A
Teravicta RT_Liadboard_Dock Rev a With TT1244A
 499.00  
Engelhardt GmbH MSM023 Control Board Module 030401689
Top-Rated Plus Seller Engelhardt GmbH MSM023 Control Board Module 030401689
 199.98  
Engelhardt GmbH MSM023 Control Board Module 980501056
Top-Rated Plus Seller Engelhardt GmbH MSM023 Control Board Module 980501056
 199.98  
Engelhardt GmbH MSM023 Control Board Module 980301007
Top-Rated Plus Seller Engelhardt GmbH MSM023 Control Board Module 980301007
 199.98  
Versatyme Vs16-17 Ram Board
Versatyme Vs16-17 Ram Board
 100.00  
Nikon 21541 Laser Lens Assembly NSR System Used Working
Nikon 21541 Laser Lens Assembly NSR System Used Working
 2 007.12  1 204.27  
ASM Advanced Semiconductor Materials 1076-994-01 Moving Plate B
ASM Advanced Semiconductor Materials 1076-994-01 Moving Plate B
 449.00  
HP 4085B Switching Matrix
HP 4085B Switching Matrix
 15 000.00  
2105-220251-12(214) CAP Quartz ROHM Device
2105-220251-12(214) CAP Quartz ROHM Device
 950.00  
36x5758 / Ic Board / Ibm Corp
36x5758 / Ic Board / Ibm Corp
 258.68  
133 / Programming Teach Panel / Adept Technology
133 / Programming Teach Panel / Adept Technology
 1 950.82  
Gastech Detector Board 57-814502 Y 72-0416r J 24-0130 Rev K Lel 61-0101 (ii2)
Gastech Detector Board 57-814502 Y 72-0416r J 24-0130 Rev K Lel 61-0101 (ii2)
 445.00  
0195-12740 / Xp 102102-02 Fan Breaker Box / Applied Material Amat
0195-12740 / Xp 102102-02 Fan Breaker Box / Applied Material Amat
 2 500.00  
A4-000-01 QUARTZ TRAY 6 inch
A4-000-01 QUARTZ TRAY 6 inch
 1 100.00  
Sinmou Sakai Led Power Supply Lpap2p-1210ncw Including Illuminators
Sinmou Sakai Led Power Supply Lpap2p-1210ncw Including Illuminators
 249.99  
AMAT 0021-01598 Face plate  Nickel TixZ
AMAT 0021-01598 Face plate  Nickel TixZ
 1 200.00  
LTX Trillium Test System EBM Fan System 853-2575-02 10 Fans Used
LTX Trillium Test System EBM Fan System 853-2575-02 10 Fans Used
 399.99  
Amat 0190-23311 Specification  Pci Host Interface Card
Amat 0190-23311 Specification  Pci Host Interface Card
 1 300.00  
344-0501// Amat Applied 0020-30887 Washer 3/16 (#10) Spring Inco New
344-0501// Amat Applied 0020-30887 Washer 3/16 (#10) Spring Inco New
 10.00  
0020-22363 Shaft  Lifter  Tc
0020-22363 Shaft  Lifter  Tc
 890.00  
Williams Advanced Materials ZTH08446 Ni/Fe 18.2% wt% Target for Nordiko AMR New
Williams Advanced Materials ZTH08446 Ni/Fe 18.2% wt% Target for Nordiko AMR New
 1 001.18  
Ae Navigator-1013  /  3155126-011 C  Rf Match
Ae Navigator-1013 / 3155126-011 C Rf Match
 2 999.00  
Ae Navigator-1013  /  3155126-017 B Rf Match
Ae Navigator-1013 / 3155126-017 B Rf Match
 3 500.00  
Nikon 4s019-205 / X3-ia-mnt Pcb Board
Nikon 4s019-205 / X3-ia-mnt Pcb Board
 799.00  
323-0302// Amat Applied 0010-00201 (#1) D.i.sensor Assy [asis]
323-0302// Amat Applied 0010-00201 (#1) D.i.sensor Assy [asis]
 300.00  
Svg Thermco Systems 168615-011 Rev 5 Temperature Interface Pcb Assly
Svg Thermco Systems 168615-011 Rev 5 Temperature Interface Pcb Assly
 799.99  
Applied Materials Controller Distribution Wps Board 0100-70019
Applied Materials Controller Distribution Wps Board 0100-70019
 249.99  
Web Technology 8000 Series Leak Pressurization System
Web Technology 8000 Series Leak Pressurization System
 10 450.00  
142-0701// Amat Applied 0140-01001 Harness Turbo Purge New
142-0701// Amat Applied 0140-01001 Harness Turbo Purge New
 40.00  
AMAT 0020-23043 Upper Shield  Tin  8" Wafer  PVD 424186
AMAT 0020-23043 Upper Shield  Tin  8" Wafer  PVD 424186
 150.00  
GME 762168 Type MT4218-S DC Servo Motor Used Working
GME 762168 Type MT4218-S DC Servo Motor Used Working
 306.18  
Estek 297-14264-1 Motor Controller Board PCB Rev. B Untested As-Is
Estek 297-14264-1 Motor Controller Board PCB Rev. B Untested As-Is
 252.12  
Now Technologies Smart Probe SD4AAAF
Now Technologies Smart Probe SD4AAAF
 399.99  
Logetronics Mrb Board 326-00-217
Logetronics Mrb Board 326-00-217
 395.95  360.31  
Logetronics Mrb Board 326-00-215
Logetronics Mrb Board 326-00-215
 395.95  360.31  
Verteq St800-41tl
Verteq St800-41tl
 19 300.00  
Mykrolis FC-2979MEP5 Mass Flow Controller MFC 200 SCCM N2 Used Working
Mykrolis FC-2979MEP5 Mass Flow Controller MFC 200 SCCM N2 Used Working
 301.15  
Horiba HE-480R-DC24V Resist Meter Used Working
Horiba HE-480R-DC24V Resist Meter Used Working
 406.15  
Daifuku CLB-3375A Interface PCB Board Untested As-Is
Daifuku CLB-3375A Interface PCB Board Untested As-Is
 302.12  
Millipore FC-2979MEP5 Mass Flow Controller 200 SCCM N2 Used Working
Millipore FC-2979MEP5 Mass Flow Controller 200 SCCM N2 Used Working
 301.15  
Lam Research 715-153067-002 Rev D 853-169779-211 B 1034325-20-11-0002 US^7551
Lam Research 715-153067-002 Rev D 853-169779-211 B 1034325-20-11-0002 US^7551
 359.00  
Frick Quantum Control Assembly Digital Board #2 640C0024G02
Top-Rated Plus Seller Frick Quantum Control Assembly Digital Board #2 640C0024G02
 999.99  
Tokyo Electron Limited TEL TEB211-12 GRSO EC80-000180-12 free ship
Tokyo Electron Limited TEL TEB211-12 GRSO EC80-000180-12 free ship
 135.00  
AMAT 0140-90100 Stepping Motor Volts 12 AMPS 0.6 414709
AMAT 0140-90100 Stepping Motor Volts 12 AMPS 0.6 414709
 275.00  
BALDOR K1599005 Brushless AC Servomotor
BALDOR K1599005 Brushless AC Servomotor
 307.18  
BALDOR K2497013 Brushless AC Servomotor
BALDOR K2497013 Brushless AC Servomotor
 307.18  
Nikon 4S013-284 Interface Board PCB IM-ENDC3 NSR-S204B Used Working
Nikon 4S013-284 Interface Board PCB IM-ENDC3 NSR-S204B Used Working
 506.18  
ASML 4022.640.83221 SHB-RS 3300 Box  ASML
ASML 4022.640.83221 SHB-RS 3300 Box ASML
 1 225.00  
Orix Mde1225-24m Dc Fan Lot Of 2    Used
Orix Mde1225-24m Dc Fan Lot Of 2   Used
 49.00  
Teravicta RT_CSP12X8-01 Rev C
Teravicta RT_CSP12X8-01 Rev C
 499.00  
111-0301// Amat Applied 0040-22202 Spacer  Pillow Block  Vectra Imp New
111-0301// Amat Applied 0040-22202 Spacer  Pillow Block  Vectra Imp New
 800.00  
Estek 398-18364-1 Graphic Processor Board PCB Untested As-Is
Estek 398-18364-1 Graphic Processor Board PCB Untested As-Is
 402.12  
Hpl Relay Matrix Board Programmable Hplrmb-rev0
Hpl Relay Matrix Board Programmable Hplrmb-rev0
 150.00  
Drytek Quad J Type Upper Electrode Assembly  P/N 2301728  NEW
Top-Rated Plus Seller Drytek Quad J Type Upper Electrode Assembly  P/N 2301728  NEW
 900.00  720.00  
Nsk Emlz10a15 Megatorque Motor Controller
Nsk Emlz10a15 Megatorque Motor Controller
 2 000.00  
Stainless Tube Stainless Pipe
Stainless Tube Stainless Pipe
 300.00  
4466  Applied Materials P/N: 0010-00138 wUpper Frame AC Dist. Assy.
4466 Applied Materials P/N: 0010-00138 wUpper Frame AC Dist. Assy.
 980.00  
Hitachi 4-817835 200mm Reflector Lot of 4 New
Hitachi 4-817835 200mm Reflector Lot of 4 New
 600.08  
Rohm Ihaas IC1000  PIPW  080  1010  SUBA IV Pad 20"/200mm Specific Gravity 0.789
Top-Rated Plus Seller Rohm Ihaas IC1000  PIPW  080  1010  SUBA IV Pad 20"/200mm Specific Gravity 0.789
 159.98  
Flow Sensor Fs-s Type Fs-3n Assy
Flow Sensor Fs-s Type Fs-3n Assy
 200.00  
hp indigo HEAT EXCHANGER
hp indigo HEAT EXCHANGER
 250.00  
DryTek 2800391E Dual Driver Board
Top-Rated Plus Seller DryTek 2800391E Dual Driver Board
 189.98  
AMAT 0020-23278 Upper Shield  12.9" Source  SST 424217
AMAT 0020-23278 Upper Shield  12.9" Source  SST 424217
 300.00  
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424156
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424156
 150.00  
Hitachi IOTU-02N Interface Board PBITU5
Hitachi IOTU-02N Interface Board PBITU5
 420.00  
Emsc 170120 Pcb  9062-024844-r  Ei# 57324  420342
Emsc 170120 Pcb  9062-024844-r  Ei# 57324  420342
 150.00  
Modus Instruments Dislpay/ Alarm DA401MORRRFO
Modus Instruments Dislpay/ Alarm DA401MORRRFO
 69.99  
Omron Ee-sx770 Unmp
Omron Ee-sx770 Unmp
 25.00  
Philips 4022 332 85411 module
Philips 4022 332 85411 module
 50.00  
C&C Power INC Battery Disconnect Panel   Custom Engineered Power Products
C&C Power INC Battery Disconnect Panel   Custom Engineered Power Products
 600.00  
STEC 2811809 Type CA-H Cable Assembly 328949
STEC 2811809 Type CA-H Cable Assembly 328949
 150.00  
Trebor Chemical Pump 610
Trebor Chemical Pump 610
 799.99  
KAIJO VME Board USG-500 free ship
KAIJO VME Board USG-500 free ship
 329.00  
Micromanipulator System
Micromanipulator System
 20 000.00  
ASM Right I/O BQM 02-82846 03-28423 rev. J
ASM Right I/O BQM 02-82846 03-28423 rev. J
 469.00  
Vmt8in A0505 / Back To Back Batch Elevator Up/down Motor (3042w012c) / Faulhaber
Vmt8in A0505 / Back To Back Batch Elevator Up/down Motor (3042w012c) / Faulhaber
 350.66  
5431  Trazar ECC1-4F (27-153218-00) Controller
5431 Trazar ECC1-4F (27-153218-00) Controller
 870.00  
600400-01 / Track Interface Pdb Bd  80072e / Svg
600400-01 / Track Interface Pdb Bd  80072e / Svg
 550.68  
50155 / Sandwich Filter Assy / Millipore
50155 / Sandwich Filter Assy / Millipore
 49.55  
ASM 1095-215-01 RING-FLOW CONTROL PRETREAT QUARTZ Refurbished
ASM 1095-215-01 RING-FLOW CONTROL PRETREAT QUARTZ Refurbished
 906.18  
Alcatel 2012A Rebuilt
Alcatel 2012A Rebuilt
 1 540.00  
31-121.022 Switch  Holder / Lamp
31-121.022 Switch  Holder / Lamp
 520.00  
Ingersoll Ts03ev-024-d
Ingersoll Ts03ev-024-d
 150.00  
Motion Engineering A038-2001
Motion Engineering A038-2001
 275.00  
AMAT 0100-09003 PCB Assy  CPX-6-0  6800-03987-0001  452661
AMAT 0100-09003 PCB Assy  CPX-6-0  6800-03987-0001  452661
 1 800.00  
Oriental Motor PK243M-01BA Motor VEXTA A193201 Used Working
Oriental Motor PK243M-01BA Motor VEXTA A193201 Used Working
 504.13  
Acrylic Sheet Plate
Acrylic Sheet Plate
 450.00  
7310-3074-01 RING  SLIP-FREE  6IN A Version
7310-3074-01 RING  SLIP-FREE  6IN A Version
 1 500.00  
Applied Materials -  0150-76190 -  Cable Assy  EMC Comp  Systems Video 12
Applied Materials - 0150-76190 - Cable Assy  EMC Comp  Systems Video 12
 50.00  
C&D Semiconductor Services  Inc. Temperature Controller. Type SRM-48Q/10-040
C&D Semiconductor Services  Inc. Temperature Controller. Type SRM-48Q/10-040
 150.00  
Malema MFC-8000-T2104-052-P-001 FLOW CONTROLLER Rev 004 F04-720110-22
Malema MFC-8000-T2104-052-P-001 FLOW CONTROLLER Rev 004 F04-720110-22
 4 657.18  
KLA Takara w2618-0003 Board for 1007 Prober
KLA Takara w2618-0003 Board for 1007 Prober
 174.99  
ASML Mat: 4022.638.41201 For MK5 SISICI
ASML Mat: 4022.638.41201 For MK5 SISICI
 1 299.00  
Interface Azi-2766 Pcb Board
Interface Azi-2766 Pcb Board
 349.00  
2985  IMTEC Pneumatic Tank Lids
2985 IMTEC Pneumatic Tank Lids
 550.00  
Mercury Blower BBA14-221UEB-01 Blower type e 200-240v
Mercury Blower BBA14-221UEB-01 Blower type e 200-240v
 130.00  
iQDP Extension Cables iQDP Pump Lot of 10 Used Working
iQDP Extension Cables iQDP Pump Lot of 10 Used Working
 502.16  
Amat 0150-11939 Cable Ass'y  Platen 2  Analog I/o  Refle
Amat 0150-11939 Cable Ass'y  Platen 2  Analog I/o  Refle
 300.00  
Schroff 23000-041 11 Slot Backplane Board PCB Bio-Rad Quaestor Q7 Overlay Used
Schroff 23000-041 11 Slot Backplane Board PCB Bio-Rad Quaestor Q7 Overlay Used
 407.13  
Applied Materials 0200-35295  Housing Gas Feed DPS Poly
Applied Materials 0200-35295  Housing Gas Feed DPS Poly
 78.00  
Network Technologies Incorporated 335st-2u-u Nodemux
Network Technologies Incorporated 335st-2u-u Nodemux
 249.99  
ASML power interface USED 4022.472.62893 SEM-I-984=9G22
ASML power interface USED 4022.472.62893 SEM-I-984=9G22
 699.90  
103844-000 / Pcb Oven Control cca 103843-001 fc0464 3499 / Micro Control Company
103844-000 / Pcb Oven Control cca 103843-001 fc0464 3499 / Micro Control Company
 250.68  
Siemens Sicomp IPC FI20 PLC Controller
Siemens Sicomp IPC FI20 PLC Controller
 3 500.00  
ASM 16-404607-01 Pin Head Ti Round No Hole Short Reseller Lot of 9 New Surplus
ASM 16-404607-01 Pin Head Ti Round No Hole Short Reseller Lot of 9 New Surplus
 353.19  
Verteq 1076584-3 RD Controller New Surplus
Verteq 1076584-3 RD Controller New Surplus
 1 006.18  
Balzers BG 542 272 T Indicator Display IU 201 PCB Card BG 542 263 T Used
Balzers BG 542 272 T Indicator Display IU 201 PCB Card BG 542 263 T Used
 458.16  
Honeywell Braukmann Rinseable Fine Filter Ff06
Honeywell Braukmann Rinseable Fine Filter Ff06
 79.99  75.99  
Kuhnke D56ror-n-ds9420 Unmp
Kuhnke D56ror-n-ds9420 Unmp
 291.00  
C&D Semiconductor Services  Inc. Temperature Controller. Type SRM-48Q/10-001.13-
C&D Semiconductor Services  Inc. Temperature Controller. Type SRM-48Q/10-001.13-
 150.00  
Mattson 1011281 Thermal Controller *used working
Mattson 1011281 Thermal Controller *used working
 500.00  350.00  
7310-2886-01 A Rear Flange No SST Tube AG Associates Heatpulse RTP
7310-2886-01 A Rear Flange No SST Tube AG Associates Heatpulse RTP
 4 500.00  
Harness Assy  Turbo Pump  93-1211-01  451808
Harness Assy  Turbo Pump  93-1211-01  451808
 295.00  
Harness Assy  Turbo Pump  93-1315-01  451809
Harness Assy  Turbo Pump  93-1315-01  451809
 295.00  
Harness Assy  Turbo Pump  93-1214-001  451807
Harness Assy  Turbo Pump  93-1214-001  451807
 295.00  
AMAT 7710/7810 EPI DO Source PCB (Assy 678611  SCH 7801-D-1010)
AMAT 7710/7810 EPI DO Source PCB (Assy 678611  SCH 7801-D-1010)
 74.99  
AMAT 7710/7810 EPI DI Source PCB (Assy 678613  SCH 7801-D-1014)
AMAT 7710/7810 EPI DI Source PCB (Assy 678613  SCH 7801-D-1014)
 79.99  
Axcelis 17332510 Plate
Axcelis 17332510 Plate
 304.00  
ASM PWBA 2602792-21 Revision A6 Motor Drive-Dual Lot of 2 Used Working
ASM PWBA 2602792-21 Revision A6 Motor Drive-Dual Lot of 2 Used Working
 500.14  
Lasertec C-100753B Processor PCB Card EDGE DET Y C-100752B EDGE_DET2 Used
Lasertec C-100753B Processor PCB Card EDGE DET Y C-100752B EDGE_DET2 Used
 1 802.19  
Applied Materials 0200-01197  Pin  Adjustable Fast Lift  Alumina  Nonconductive
Applied Materials 0200-01197  Pin  Adjustable Fast Lift  Alumina  Nonconductive
 60.00  
CYMER Used 172436 SEM-I-561=9A39
CYMER Used 172436 SEM-I-561=9A39
 499.90  
Controlotron System 990 Ultrasonic Flowmeter 994DFTDNBB-3-1904 working
Controlotron System 990 Ultrasonic Flowmeter 994DFTDNBB-3-1904 working
 703.13  
Verteq Frequency Generator 1074710 4119244
Verteq Frequency Generator 1074710 4119244
 656.87  
SMS 0006389 Processor Controller PCB Board 0005349 Rev. A Untested As-Is
SMS 0006389 Processor Controller PCB Board 0005349 Rev. A Untested As-Is
 401.12  
MJC BAW-0173 Board
MJC BAW-0173 Board
 449.90  
7450-0032-03 Ring  Slip-free   6in   E Version
7450-0032-03 Ring  Slip-free  6in   E Version
 1 500.00  
SMC NCDQ2B50-XA-0001US Cylinder  419800
SMC NCDQ2B50-XA-0001US Cylinder  419800
 150.00  
Novellus Systems 02-149841-01N C3 Vector 300mm Assembly 15-156474-01 Used
Novellus Systems 02-149841-01N C3 Vector 300mm Assembly 15-156474-01 Used
 812.15  
9f8002-021 / 130 Mb Hard Drive Sthd / Seagate
9f8002-021 / 130 Mb Hard Drive Sthd / Seagate
 80.68  
2 Klockner Moeller K40A  Circuit Breaker  402879
2 Klockner Moeller K40A  Circuit Breaker  402879
 120.00  
Comdel CLX2500 208V
Comdel CLX2500 208V
 4 500.00  
BAASEL LASERTECH PCB LBS ARTNR Board 0000809-40 for parts
BAASEL LASERTECH PCB LBS ARTNR Board 0000809-40 for parts
 35.00  
Malema Sensors Flow Meter Sensor Cable 2100 IFM-2100 Extn-IFM-2100 AMAT Lam
Malema Sensors Flow Meter Sensor Cable 2100 IFM-2100 Extn-IFM-2100 AMAT Lam
 139.99  
E17055950 / Blankoff - Dump / Varian
E17055950 / Blankoff - Dump / Varian
 327.03  
Amat 0010-27376 Assy  Smart Clean Cup Snsr Hsng  Platen
Amat 0010-27376 Assy  Smart Clean Cup Snsr Hsng  Platen
 3 500.00  
Amat 0140-02143 Harness Assy Enhanced Rotation Rpm Fault
Amat 0140-02143 Harness Assy Enhanced Rotation Rpm Fault
 225.00  
Tegal CC1326-00100 Chamber-Stripper For Parts As-Is
Tegal CC1326-00100 Chamber-Stripper For Parts As-Is
 3 007.18  
AMAT 0100-00015 v. H Position Encoder Buffer  PCB  FAB 0110-00015 274-H-3310-05
Top-Rated Plus Seller AMAT 0100-00015 v. H Position Encoder Buffer  PCB  FAB 0110-00015 274-H-3310-05
 149.99  119.99  
Eni Model Oem-12 Solid State Rf Power Generator  (#1973)
Top-Rated Plus Seller Eni Model Oem-12 Solid State Rf Power Generator (#1973)
 960.00  720.00  
Bay Pneumatic Inc BES-501-8
Bay Pneumatic Inc BES-501-8
 149.99  
TEL Tokyo Electron 3Z81-000011-V1 Interface Board PCB TDB343-1/AC Used
TEL Tokyo Electron 3Z81-000011-V1 Interface Board PCB TDB343-1/AC Used
 506.18  
Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 12 Used
Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 12 Used
 484.16  
Duran Industries 4651072-001 Vespel POCO Handle Tip New
Duran Industries 4651072-001 Vespel POCO Handle Tip New
 204.18  
Applied Materials Producer Mounting Blade Nickle 0104-10429
Applied Materials Producer Mounting Blade Nickle 0104-10429
 199.99  
Amat 0630-90086 Cap Fxd 100v 0.01mf 10% Cermc  451618
Amat 0630-90086 Cap Fxd 100v 0.01mf 10% Cermc  451618
 5.00  
Leybold 306396-2002-R System Controller Used Working
Leybold 306396-2002-R System Controller Used Working
 707.12  
Amat 3020-01196 Cyl Air 16mm Bore 10mm
Amat 3020-01196 Cyl Air 16mm Bore 10mm
 145.00  
Amat 3020-01193 Cyl Air 16mm Bore 10mm
Amat 3020-01193 Cyl Air 16mm Bore 10mm
 145.00  
402-0202// Toyo Sokki Dls-5025a Controller [used/fast]
402-0202// Toyo Sokki Dls-5025a Controller [used/fast]
 300.00  
404-0501// Samsung Csd-02bb1p Servo Driver [used/fast]
404-0501// Samsung Csd-02bb1p Servo Driver [used/fast]
 300.00  
3079  SCP Global Technologies CT0001774A External Muffler
3079 SCP Global Technologies CT0001774A External Muffler
 750.00  
ASM 23.27.2010/0  552720100-00 Rev.C A/D Connector Board  Converter  PCB. 408855
ASM 23.27.2010/0  552720100-00 Rev.C A/D Connector Board  Converter  PCB. 408855
 325.00  
Applied Materials/AMAT 0200-00218 Quarz Plate
Applied Materials/AMAT 0200-00218 Quarz Plate
 300.00  
IDEX TREBOR SS95 Part #AL01377  REFURBISHED IN 2012
IDEX TREBOR SS95 Part #AL01377 REFURBISHED IN 2012
 950.00  
Leybold 307285-2002-F System Controller Used Working
Leybold 307285-2002-F System Controller Used Working
 707.12  
115UDD200XBFBA130240-SHPW Motor  115UD200XBFBA130240-SHPW / Servo Motor / Emerso
115UDD200XBFBA130240-SHPW Motor  115UD200XBFBA130240-SHPW / Servo Motor / Emerso
 520.00  
Tokyo Electron Tel Pcb  Ttld12-12 F-do_32rly 3880-200114-11
Tokyo Electron Tel Pcb  Ttld12-12 F-do_32rly 3880-200114-11
 499.00  
7500-0155-06 Tray Alignment  8 Inch
7500-0155-06 Tray Alignment  8 Inch
 1 000.00  
AMAT 0040-41117  Cover Panel with Insulated Material  405867
AMAT 0040-41117  Cover Panel with Insulated Material  405867
 300.00  
MPM Magnetic Board Support Block
MPM Magnetic Board Support Block
 119.99  
ASML Used 4022.640.01733  4022.640.04144 IBVB SEM-I-318=6B47
ASML Used 4022.640.01733  4022.640.04144 IBVB SEM-I-318=6B47
 499.90  
FESTO valve Manifold Used ASML 4022.666.00652 CS.1414336.A SEM-I-584=9A38
FESTO valve Manifold Used ASML 4022.666.00652 CS.1414336.A SEM-I-584=9A38
 499.90  
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424145
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424145
 150.00  
16-271392-00g 1040096 0820 046
16-271392-00g 1040096 0820 046
 2 100.00  
TDK TAS-RIN11 Backplane Interface Board PCB Rev. 2.20
TDK TAS-RIN11 Backplane Interface Board PCB Rev. 2.20
 175.00  
7100-5750-01 assy s-f/pkg 6in w/o flat  SLIP FREE RING (7450-0059-06J) A VERSION
7100-5750-01 assy s-f/pkg 6in w/o flat  SLIP FREE RING (7450-0059-06J) A VERSION
 1 500.00  
564 Ionization Guage (K2500301)
564 Ionization Guage (K2500301)
 225.00  
Schumacher 1730-3013 Display Control Board PCB 1731-3013 Untested As-Is
Schumacher 1730-3013 Display Control Board PCB 1731-3013 Untested As-Is
 301.12  
AMAT 0040-60413 Face Plate T/N (NI) NIKKOSHI032
AMAT 0040-60413 Face Plate T/N (NI) NIKKOSHI032
 1 200.00  
ESI assy 85205
ESI assy 85205
 429.00  
VARIAN L9481-313 Valves  USED
VARIAN L9481-313 Valves  USED
 300.00  
ASM 1061-434-01 ASSY NOISE FILTER BOX New
ASM 1061-434-01 ASSY NOISE FILTER BOX New
 606.18  
TEL Tokyo Electron 3281-000151-11 Interface Board PCB FA1011K501B Used Working
TEL Tokyo Electron 3281-000151-11 Interface Board PCB FA1011K501B Used Working
 608.18  
LTX Corporation Analog Control TS80 60Hz PN 865-0010-00
LTX Corporation Analog Control TS80 60Hz PN 865-0010-00
 1 100.00  
4-01243 Barrel  Susceptor  5 inch XYCARB CERAMICS
4-01243 Barrel  Susceptor  5 inch XYCARB CERAMICS
 2 500.00  
Aviza 907364-069 Mfc Tylan Fc-280 Sav Gas Sih4 / 500sccm
Aviza 907364-069 Mfc Tylan Fc-280 Sav Gas Sih4 / 500sccm
 800.00  
Lam 810-802902-033 Motherboard Node 2
Lam 810-802902-033 Motherboard Node 2
 499.00  
Amphenol -- 554-84 -- (Lot of 3) New
Amphenol -- 554-84 -- (Lot of 3) New
 15.00  
applied vectra imp vor rf match PVD 0010-22041
applied vectra imp vor rf match PVD 0010-22041
 5 000.00  
4050-1016-01  AG Associates Heatpulse TSCRN  CUSTOM
4050-1016-01 AG Associates Heatpulse TSCRN  CUSTOM
 1 500.00  
SVG 99-38150-02 Shuttle Arm Assembly  Random 90 Series  No Vacuum Arm
SVG 99-38150-02 Shuttle Arm Assembly  Random 90 Series  No Vacuum Arm
 699.99  
ASM 02-184430-01 OBS Assembly Fixt Gate Valve Liquid Cooled New
ASM 02-184430-01 OBS Assembly Fixt Gate Valve Liquid Cooled New
 1 286.18  643.09  
Hitachi BBE11-01 Backplane Interface Board PCB For M-712E Dry Etcher
Hitachi BBE11-01 Backplane Interface Board PCB For M-712E Dry Etcher
 299.00  
317-0301// Amat Applied 0050-91046 Applied Matrials Components [asis]
317-0301// Amat Applied 0050-91046 Applied Matrials Components [asis]
 1 000.00  
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM
 357.18  
Vat 254315 Cover Plate Lot Of 2 New
Vat 254315 Cover Plate Lot Of 2 New
 24.99  
Brisk Heat UASM12012RSN-187 Heated Jacket 2406780-01 New Surplus
Brisk Heat UASM12012RSN-187 Heated Jacket 2406780-01 New Surplus
 604.18  
2472  1-2 Gal. Steel Pressure Container
2472 1-2 Gal. Steel Pressure Container
 80.00  
Tokyo Electron TEL TVB3001-1/STI 3281-000035-16
Tokyo Electron TEL TVB3001-1/STI 3281-000035-16
 899.00  
S&C Electric 005-90-12 Analog Interface Board PCB 004-90-14 Used Working
S&C Electric 005-90-12 Analog Interface Board PCB 004-90-14 Used Working
 710.17  
Disco EAUA--163100 PCB  FAPCB-0337  Farmon ID 412524
Disco EAUA--163100 PCB  FAPCB-0337  Farmon ID 412524
 125.00  
Watlow Series 945Temperature Control ID -AWM-F-1-030
Watlow Series 945Temperature Control ID -AWM-F-1-030
 155.00  
Lot 5 Nikon 4s008-174 Psdx24-sub Board
Lot 5 Nikon 4s008-174 Psdx24-sub Board
 1 200.00  
344-0101// Amat Applied 0021-03001 Connector Power Socket 3mm Dia Producer New
344-0101// Amat Applied 0021-03001 Connector Power Socket 3mm Dia Producer New
 80.00  
Leybold AG 200.81.080 Temp-Box Controller  451967
Leybold AG 200.81.080 Temp-Box Controller  451967
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451968
Leybold AG 200.81.080 Temp-Box Controller  451968
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451970
Leybold AG 200.81.080 Temp-Box Controller  451970
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451973
Leybold AG 200.81.080 Temp-Box Controller  451973
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451974
Leybold AG 200.81.080 Temp-Box Controller  451974
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451976
Leybold AG 200.81.080 Temp-Box Controller  451976
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451978
Leybold AG 200.81.080 Temp-Box Controller  451978
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451979
Leybold AG 200.81.080 Temp-Box Controller  451979
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451980
Leybold AG 200.81.080 Temp-Box Controller  451980
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451981
Leybold AG 200.81.080 Temp-Box Controller  451981
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451982
Leybold AG 200.81.080 Temp-Box Controller  451982
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451983
Leybold AG 200.81.080 Temp-Box Controller  451983
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451986
Leybold AG 200.81.080 Temp-Box Controller  451986
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452000
Leybold AG 200.81.080 Temp-Box Controller  452000
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452002
Leybold AG 200.81.080 Temp-Box Controller  452002
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452004
Leybold AG 200.81.080 Temp-Box Controller  452004
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452028
Leybold AG 200.81.080 Temp-Box Controller  452028
 150.00  
Sensotec 060-3147-02 Digital Pressure Transducer  451639
Sensotec 060-3147-02 Digital Pressure Transducer  451639
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451969
Leybold AG 200.81.080 Temp-Box Controller  451969
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451971
Leybold AG 200.81.080 Temp-Box Controller  451971
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451985
Leybold AG 200.81.080 Temp-Box Controller  451985
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451998
Leybold AG 200.81.080 Temp-Box Controller  451998
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451999
Leybold AG 200.81.080 Temp-Box Controller  451999
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452001
Leybold AG 200.81.080 Temp-Box Controller  452001
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452005
Leybold AG 200.81.080 Temp-Box Controller  452005
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452006
Leybold AG 200.81.080 Temp-Box Controller  452006
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452007
Leybold AG 200.81.080 Temp-Box Controller  452007
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452029
Leybold AG 200.81.080 Temp-Box Controller  452029
 150.00  
Svg Thermco Systems 165120-001 Assy Alarm Interface Board
Svg Thermco Systems 165120-001 Assy Alarm Interface Board
 299.99  
Sonix UHF/V3951 2MM OLF Transducer
Sonix UHF/V3951 2MM OLF Transducer
 2 500.00  
ASML Used 4022.631.01302 SEM-I-736=9G21
ASML Used 4022.631.01302 SEM-I-736=9G21
 599.90  
Canon BG9-3711 BH8-0676-02
Canon BG9-3711 BH8-0676-02
 399.00  
AMAT Applied Materials 0021-03526 BPSG Slotted Outer Ring New Surplus
AMAT Applied Materials 0021-03526 BPSG Slotted Outer Ring New Surplus
 1 201.19  
Airtrol R820-10 Mini Regulator
Airtrol R820-10 Mini Regulator
 50.00  
Nihon Koshuha Ms-39d-4501-00 Manual Tuner
Nihon Koshuha Ms-39d-4501-00 Manual Tuner
 1 400.95  
Disco MODRR006--BY Base Table  MODRR006BY  411935
Disco MODRR006--BY Base Table  MODRR006BY  411935
 1 450.00  
Anelva C7100
Anelva C7100
 20 000.00  
Mae Hs20022310300br08 Nsnp
Mae Hs20022310300br08 Nsnp
 175.00  
AMAT 0140-21363  Cable  Harness  Cable Interlock. 414447
AMAT 0140-21363  Cable  Harness  Cable Interlock. 414447
 225.00  
Semifusion Corp Comparator Connector PCB Model 160
Semifusion Corp Comparator Connector PCB Model 160
 40.00  
Drytek/Lam  ASIQ RF/PUMP INTERFACE PCB  ASSY 2800921  P/N 2800920  NEW
Top-Rated Plus Seller Drytek/Lam ASIQ RF/PUMP INTERFACE PCB  ASSY 2800921  P/N 2800920  NEW
 475.00  380.00  
1932  Lot of 4 Tokio Electron UEM320S-172 (P/N: 046-001662-1) Lamps
1932 Lot of 4 Tokio Electron UEM320S-172 (P/N: 046-001662-1) Lamps
 1 200.00  
Disco FBPCB-0173 8-DI(COM)_PCB (lot of 2)
Disco FBPCB-0173 8-DI(COM)_PCB (lot of 2)
 349.00  
MKS Model 621B13TBFH Remote Transducer With Cables  1000 Torr
Top-Rated Plus Seller MKS Model 621B13TBFH Remote Transducer With Cables  1000 Torr
 170.00  30.95  
Varian Unity Pcb Board Card Gradient Dac Bd 969990 87-178880
Varian Unity Pcb Board Card Gradient Dac Bd 969990 87-178880
 171.64  
LTD Material 10299-000 Cover Quartz ESC NGDT New Spare
LTD Material 10299-000 Cover Quartz ESC NGDT New Spare
 1 503.19  
4123 Process Chamber Cover Top
4123 Process Chamber Cover Top
 750.00  
Novellus -- 10-178375-00 -- New
Novellus -- 10-178375-00 -- New
 50.00  
B & G Enterprises 01-100-01 Used Multi Controller 0110001
B & G Enterprises 01-100-01 Used Multi Controller 0110001
 3 500.00  
AMAT 0020-09368  External Cover. 419888
AMAT 0020-09368  External Cover. 419888
 450.00  
0090-b3930 Kvr15250 C413255/01
0090-b3930 Kvr15250 C413255/01
 95.00  
Marteq Process Solutions 1084136-1 Lid Assy for 8201 Dryer ASM 4654546-001 Used
Marteq Process Solutions 1084136-1 Lid Assy for 8201 Dryer ASM 4654546-001 Used
 2 104.18  
703-1141-02  Ge  Mis Is Channel Pcb Moisture W/
703-1141-02  Ge  Mis Is Channel Pcb Moisture W/
 1 255.00  
Applied Materials Quartz Insulator 0020-00006
Applied Materials Quartz Insulator 0020-00006
 249.99  
Bd Support Cable Assy 47577401
Bd Support Cable Assy 47577401
 90.00  
Killark Sieger 16431AAEB HKGL0795 HKB0495 Kokusai Zestone DD-1203V Used Working
Killark Sieger 16431AAEB HKGL0795 HKB0495 Kokusai Zestone DD-1203V Used Working
 406.16  
Schlumberger 504-000 TLA DC Motor Driver Assembly Used Working
Schlumberger 504-000 TLA DC Motor Driver Assembly Used Working
 410.11  
355-0501// Amat Applied 0020-22187 (#1) 0020-13953 Plate Mount Adapter [asis]
355-0501// Amat Applied 0020-22187 (#1) 0020-13953 Plate Mount Adapter [asis]
 240.00  
7.01.090 / Basic Board Dtc / Tempress - General Signal
7.01.090 / Basic Board Dtc / Tempress - General Signal
 89.68  
Kitz SCT TM-003A Assembly Precursor Bottle ASM 1046-253-01 Power AC 200V
Top-Rated Plus Seller Kitz SCT TM-003A Assembly Precursor Bottle ASM 1046-253-01 Power AC 200V
 1 179.98  
346-0103// Amat Applied 0020-20524 Hub Left  Corner Cover  Lamp Bake Out [asis]
346-0103// Amat Applied 0020-20524 Hub Left  Corner Cover Lamp Bake Out [asis]
 120.00  
Oriental Motor Pk569nawa 5-phase Stepping Motor
Oriental Motor Pk569nawa 5-phase Stepping Motor
 900.00  
0195-12002 / Control Box Yamatake Sensing Log-1534 Rev001 / Amat
0195-12002 / Control Box Yamatake Sensing Log-1534 Rev001 / Amat
 250.03  
AMAT Applied Materials 0100-90077 Thermistor Gauge Controller PCB Card Used
AMAT Applied Materials 0100-90077 Thermistor Gauge Controller PCB Card Used
 309.16  
102905-002 / Abesmem - Rep Rate Generator Card / Micro Control Company
102905-002 / Abesmem - Rep Rate Generator Card / Micro Control Company
 82.68  
New Amat Applied Materials 0050-47458-001 Vacuum Fitting
New Amat Applied Materials 0050-47458-001 Vacuum Fitting
 169.99  
Millipore FC-2900M-4V MFC  Mass Flow Controller  O2  100 SCCM  422032
Millipore FC-2900M-4V MFC  Mass Flow Controller  O2  100 SCCM  422032
 650.00  
Advance 56454751 - Baffle
Advance 56454751 - Baffle
 188.89  
Luxtron 11-14259-01 Thermo Board PCB TEL Tokyo Electron 2L51-000004-V1 Spare
Luxtron 11-14259-01 Thermo Board PCB TEL Tokyo Electron 2L51-000004-V1 Spare
 505.19  
AMAT 0020-06826 Shaft  411240
AMAT 0020-06826 Shaft  411240
 150.00  
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424144
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424144
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  451984
Leybold AG 200.81.080 Temp-Box Controller  451984
 150.00  
Leybold AG 200.81.080 Temp-Box Controller  452008
Leybold AG 200.81.080 Temp-Box Controller  452008
 150.00  
Bd Support Cable Assy 47577401
Bd Support Cable Assy 47577401
 90.00  
Jeongil JI-DM2-P Touch Screen Interface Module Reseller Lot of 3 Benecom Used
Jeongil JI-DM2-P Touch Screen Interface Module Reseller Lot of 3 Benecom Used
 506.18  
Applied Precision 52-000129-012 Control unit 220-240Vac used US&94299
Applied Precision 52-000129-012 Control unit 220-240Vac used US&94299
 899.00  
ASML 4022.634.06011 Motion Controller Module Board Card 4022.634.05941 PCB
ASML 4022.634.06011 Motion Controller Module Board Card 4022.634.05941 PCB
 300.00  240.00  
VEM CdTe Target 48S0003
VEM CdTe Target 48S0003
 381.80  343.62  
MATROX Morphis Image Acquisition Card Y7142-03 Rev.A free ship
MATROX Morphis Image Acquisition Card Y7142-03 Rev.A free ship
 215.00  
135-0301// Smc Xgt311-50336-1f-x489 Slit Valve Not Working
135-0301// Smc Xgt311-50336-1f-x489 Slit Valve Not Working
 250.00  
Pacific Scientific PM-250 Vacuum Particle Controller Working Spare
Pacific Scientific PM-250 Vacuum Particle Controller Working Spare
 404.18  
HANDLER CHANGE KIT FOR 19x19 HSBGA dual side
HANDLER CHANGE KIT FOR 19x19 HSBGA dual side
 1 500.00  
A4100-4A 4 Inch Muffle SiC Coat   Susceptor Base
A4100-4A 4 Inch Muffle SiC Coat   Susceptor Base
 3 750.00  
Fuji Electric Cp32fm/7 7a 220vac Nsmp
Fuji Electric Cp32fm/7 7a 220vac Nsmp
 92.00  
.875 X .500 X .060 PTFE White Sealing Washer 96371A207 Lot of 10
.875 X .500 X .060 PTFE White Sealing Washer 96371A207 Lot of 10
 29.99  
344-0303// Amat Applied 0020-10885 Screw sltd Pan Hd #4-40x1/2 New
344-0303// Amat Applied 0020-10885 Screw sltd Pan Hd #4-40x1/2 New
 40.00  
Celerity AAPGN9008 Gas:N2 173-438 SCCM IN3XP Mass Flow Controller
Top-Rated Plus Seller Celerity AAPGN9008 Gas:N2 173-438 SCCM IN3XP Mass Flow Controller
 139.99  
BIORAD Y5304900 DSF VME Interface Board Y5304901 BIO RAD RATS INTERFACE
BIORAD Y5304900 DSF VME Interface Board Y5304901 BIO RAD RATS INTERFACE
 200.00  
2725260 / Contact  Pci Sc-i-t  9775163-02  0935  3002083729  / Phoenix Contact
2725260 / Contact  Pci Sc-i-t  9775163-02  0935  3002083729  / Phoenix Contact
 575.68  
Square D Ab4381 Circuit Breaker Used
Square D Ab4381 Circuit Breaker Used
 10.70  
344-0402// Amat Applied 3320-01033 Gskt Shld Rf .094dia 30lb/lin-in Std-fo New
344-0402// Amat Applied 3320-01033 Gskt Shld Rf .094dia 30lb/lin-in Std-fo New
 10.00  
Hitachi High-technologies 2-a13280-*a Electrode Head
Hitachi High-technologies 2-a13280-*a Electrode Head
 2 700.00  
Alcatel 2008a  Rebuilt
Alcatel 2008a Rebuilt
 1 481.70  
Omega Engineering DP25-TC 115V 6 Watts Meters
Omega Engineering DP25-TC 115V 6 Watts Meters
 225.00  
Matrix Integrated System 1000-0082 1010-0082 AWM-G-5-3-001
Matrix Integrated System 1000-0082 1010-0082 AWM-G-5-3-001
 195.00  
Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
 1 500.00  
SMC HCQ2B25-7Z-dux02014  Cylinder 145 PSI 1.0 MPa
SMC HCQ2B25-7Z-dux02014 Cylinder 145 PSI 1.0 MPa
 20.00  
SMC Air Slide Table MXS8-30 Actuator Cylinder
SMC Air Slide Table MXS8-30 Actuator Cylinder
 199.99  
TeleFrank Modul Parallel I/O E84
TeleFrank Modul Parallel I/O E84
 89.95  
AMAT 0100-09136 Mini DI/DO Short Circuit Protected Board Assy  PCB  104119
AMAT 0100-09136 Mini DI/DO Short Circuit Protected Board Assy  PCB  104119
 350.00  
[Used] UTI / QTRT  CONSOLE  Q.T.R.T / QualiTorr Remote
[Used] UTI / QTRT  CONSOLE  Q.T.R.T / QualiTorr Remote
 1 182.00  
Veeco Watlow Flexible Thermal Strip Heater 030150C2
Veeco Watlow Flexible Thermal Strip Heater 030150C2
 199.99  70.00  
ASM 1017-841-01 Shim Conductance Susc AL 016 296 New
ASM 1017-841-01 Shim Conductance Susc AL 016 296 New
 308.18  
CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6 Used Working
CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6 Used Working
 604.18  
VAT 62028-KA18-0003 Vacuum Control Valve
VAT 62028-KA18-0003 Vacuum Control Valve
 225.00  
AMAT Applied Materials 0021-19152 Particle Ring New Surplus
AMAT Applied Materials 0021-19152 Particle Ring New Surplus
 806.12  
CTI 8043085 Braided Steel Hose
CTI 8043085 Braided Steel Hose
 146.20  
Nikon 4S008-090 DC-DC Converter Board PCB LIUREG2 NSR System Used Working
Nikon 4S008-090 DC-DC Converter Board PCB LIUREG2 NSR System Used Working
 1 501.19  1 050.83  
BTU Engineering 3181180 Video Interface Board PCB Card EPROM V2.1 Used Working
BTU Engineering 3181180 Video Interface Board PCB Card EPROM V2.1 Used Working
 410.11  
AG Associates Quartz Tray  7400-0133-03 / 7100-1844-01C
AG Associates Quartz Tray  7400-0133-03 / 7100-1844-01C
 2 800.00  
Ace Company CS33-333 Showerhead 233-2089-77 New Surplus
Ace Company CS33-333 Showerhead 233-2089-77 New Surplus
 810.09  
AMAT 0150-00596 Cable Assembly  Cell B Motion Interlock  Harness  413999
AMAT 0150-00596 Cable Assembly  Cell B Motion Interlock  Harness  413999
 150.00  
TURCK RSV RKV 56-6M Minifast Cordset Set 5 Position Female Male U2286-3 U2286
Top-Rated Plus Seller TURCK RSV RKV 56-6M Minifast Cordset Set 5 Position Female Male U2286-3 U2286
 99.99  
Graphic Strategies VGME-1024-4L rev A
Graphic Strategies VGME-1024-4L rev A
 199.00  
Rotary Union Manifold 108176001
Rotary Union Manifold 108176001
 750.00  
SVG Silicon Valley Group 303-075 GP Temperature Transmitter Used Working
SVG Silicon Valley Group 303-075 GP Temperature Transmitter Used Working
 359.09  
Harness Index Encoder 506-39753-02
Harness Index Encoder 506-39753-02
 240.00  
Acuity Imaging 070-201000 Rev C   070-200000 Rev B Board
Acuity Imaging 070-201000 Rev C   070-200000 Rev B Board
 80.00  
Applied Materials AMAT Gas Weldment  0050-06447
Applied Materials AMAT Gas Weldment  0050-06447
 375.00  
Novellus Systems 04-722686-02 PVD Clampless w/Notch Stage Shield Refurbished
Novellus Systems 04-722686-02 PVD Clampless w/Notch Stage Shield Refurbished
 901.12  
3 SMC NVJ3143 Solenoid  450282
3 SMC NVJ3143 Solenoid  450282
 120.00  
Leybold AG 200.81.080 Temp-Box Controller  451977
Leybold AG 200.81.080 Temp-Box Controller  451977
 150.00  
Hine Design CV-252E CCD Video Camera Module HineSight 2020 Used Working
Hine Design CV-252E CCD Video Camera Module HineSight 2020 Used Working
 806.14  
Varian Cylinder 9545118
Varian Cylinder 9545118
 150.00  
HORIBA CS-100 series Chemical Solution Monitor
HORIBA CS-100 series Chemical Solution Monitor
 750.00  
Kashiyama Dry Pump RD90U-004
Kashiyama Dry Pump RD90U-004
 3 000.00  
Amat 0040-77251 Trim  Front  Short   Used
Amat 0040-77251 Trim  Front  Short   Used
 300.00  
Yaskawa Electric Corporation Jusp-ra05 Resistor Unit  Used
Yaskawa Electric Corporation Jusp-ra05 Resistor Unit  Used
 250.00  
Yamatake DMC55CVR40001000 Processor PCB Card Nikon 4S014-252 NSR-S620D Spare
Yamatake DMC55CVR40001000 Processor PCB Card Nikon 4S014-252 NSR-S620D Spare
 8 007.19  3 202.88  
Get Control FAI05101  PCB  411619
Get Control FAI05101  PCB  411619
 350.00  
Opto Micron FX-610 Motorized Stage
Opto Micron FX-610 Motorized Stage
 525.00  
AMAT 0020-18095 Spacer  Relay  407233
AMAT 0020-18095 Spacer  Relay  407233
 250.00  
4549  Applied Materials 0010-00138 wUpper Frame AC Dist. Assy.
4549 Applied Materials 0010-00138 wUpper Frame AC Dist. Assy.
 980.00  
Getech Model ACI2000 Automatic Connector Insertion Machine 200-240V "Never Used"
Getech Model ACI2000 Automatic Connector Insertion Machine 200-240V "Never Used"
 60 000.00  
Corelis 10204A  NetICE-R Option 2/2MF 413291
Corelis 10204A  NetICE-R Option 2/2MF 413291
 179.00  
Corelis 10204A  NetICE-R Option 2/2MF 413290
Corelis 10204A  NetICE-R Option 2/2MF 413290
 179.00  
inTEST in2Pro Tiger Manipulator Main Board 13281D Assy:13519 Rev F
inTEST in2Pro Tiger Manipulator Main Board 13281D Assy:13519 Rev F
 95.00  
Stec Inc Pcu-2000 Controller
Stec Inc Pcu-2000 Controller
 399.90  
AMAT - Ring Chuck  340mm (TOSOH 607-013-TA-30R-021)
AMAT - Ring Chuck  340mm (TOSOH 607-013-TA-30R-021)
 299.99  
Corelis 10204A  NetICE-R Option 2/2MF 413294
Corelis 10204A  NetICE-R Option 2/2MF 413294
 179.00  
AMAT 0140-00884 Harness Assembly  Motion Control Interlock  410771
AMAT 0140-00884 Harness Assembly  Motion Control Interlock  410771
 250.00  
Amat 3700-02440  Parker Uhp Oring #2-122-uhp  Compound #v0747  Id 1.112  Qty 4
Amat 3700-02440  Parker Uhp Oring #2-122-uhp  Compound #v0747  Id 1.112 Qty 4
 39.99  
PN 7100-3395-01 AG Associates Heatpulse PCB Elographics E271-2201 PN 170020 B
PN 7100-3395-01 AG Associates Heatpulse PCB Elographics E271-2201 PN 170020 B
 2 800.00  
Atc Mass Extraction Leak Tester Im2-010u-010t-me2
Atc Mass Extraction Leak Tester Im2-010u-010t-me2
 4 999.00  
Inductotherm Power-trak 225-30 225kw Vip Induction Control Furnace   (#3492)
Inductotherm Power-trak 225-30 225kw Vip Induction Control Furnace (#3492)
 50 000.00  37 500.00  
Applied Materials Setra Millipore Press XDCR TR3000020325 1350-01317 1350-00054
Applied Materials Setra Millipore Press XDCR TR3000020325 1350-01317 1350-00054
 1 375.00  
Brooks 6256sczwcla Ar 1500sccm Mass Flow Controller / Mfc
Brooks 6256sczwcla Ar 1500sccm Mass Flow Controller / Mfc
 179.99  
0063870-001 / Discrete I-o Module / Rsm
0063870-001 / Discrete I-o Module / Rsm
 344.82  
137-0301// Amat Applied 0050-76890 Weldment  Foreline Chamber Main [new]
137-0301// Amat Applied 0050-76890 Weldment  Foreline Chamber Main [new]
 520.00  
Amat Applied Materials Smoke And Water Leak Det Assy 0130-00611
Amat Applied Materials Smoke And Water Leak Det Assy 0130-00611
 250.00  
MTS T-2033 VM2033 Circuit Board Parts/Repair
MTS T-2033 VM2033 Circuit Board Parts/Repair
 29.99  
Depro A600 O2 Sensor Pwr/signal Controller Asm 54-106618a48
Depro A600 O2 Sensor Pwr/signal Controller Asm 54-106618a48
 307.18  
Opal 50312570000 CVC Board PCB Used Working
Opal 50312570000 CVC Board PCB Used Working
 1 503.19  
Nikon 4S018-057-2 WL3SEN2 board
Nikon 4S018-057-2 WL3SEN2 board
 749.00  
Yaskawa Electric JANCD-NBB31B Backplane PCB F352077-1 NXC100 Working Spare
Yaskawa Electric JANCD-NBB31B Backplane PCB F352077-1 NXC100 Working Spare
 509.17  
Omron E5AX-LA02 Digital Process Temperature Humidity Controller E5AX Lot of 3
Omron E5AX-LA02 Digital Process Temperature Humidity Controller E5AX Lot of 3
 406.18  
AMAT 0100-00195 Endpoint Interface  Smoother  PCB  411590
AMAT 0100-00195 Endpoint Interface  Smoother  PCB  411590
 300.00  
AMAT 0020-24719 Cover Ring 8" 101 AL Coverage 424168
AMAT 0020-24719 Cover Ring 8" 101 AL Coverage 424168
 650.00  
Swagelok SS-HBVCR4-CM Bellows Valve Assembly  452150
Swagelok SS-HBVCR4-CM Bellows Valve Assembly  452150
 150.00  
ASML Power Supply interface module USED 4022.472.62895 10004855-C SEM-I-983=9G22
ASML Power Supply interface module USED 4022.472.62895 10004855-C SEM-I-983=9G22
 699.90  
Se1500014 / Terminal  16 Input Terminal & Display / Electronic Visions
Se1500014 / Terminal  16 Input Terminal & Display / Electronic Visions
 447.68  
341-0403// Amat Applied 3300-02254 Ftg Flange Blank Nw100 5.12od Used
341-0403// Amat Applied 3300-02254 Ftg Flange Blank Nw100 5.12od Used
 60.00  
Simpson Temperature Meter Controller ID-AWM-F-1-011
Simpson Temperature Meter Controller ID-AWM-F-1-011
 75.00  
Gas Transfer Unit 0-550 PPM HA-101602  New  RDS
Gas Transfer Unit 0-550 PPM HA-101602  New  RDS
 795.00  
Nagano Keiki ZT17-1G6 Valve  Isolation  452143
Nagano Keiki ZT17-1G6 Valve  Isolation  452143
 250.00  
Vodmb13cr1be / Vapor On Demand Module / Mks
Vodmb13cr1be / Vapor On Demand Module / Mks
 4 500.68  
7100-4074-07 / Erp - 8-in - Oven Stability / Metron
7100-4074-07 / Erp - 8-in - Oven Stability / Metron
 2 035.68  
Part 81176 Clamp Bracket
Part 81176 Clamp Bracket
 17.33  
Part 81175 Clamp Bracket
Part 81175 Clamp Bracket
 18.33  
2806  Applied Materials P/N: 0040-34416 Plate  Pumping LPCVD CHMBR  W/2ND CHOKE
2806 Applied Materials P/N: 0040-34416 Plate  Pumping LPCVD CHMBR W/2ND CHOKE
 450.00  
Muto PN# MA-50882 Vespel Clamp Ring
Muto PN# MA-50882 Vespel Clamp Ring
 395.00  
351-0501// Amat Applied 0190-23498 Ts Heated Valve [used]
351-0501// Amat Applied 0190-23498 Ts Heated Valve [used]
 3 000.00  
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424146
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424146
 150.00  
N Newport P6000 Gate ID-AWM-F-1-016
N Newport P6000 Gate ID-AWM-F-1-016
 175.00  
Daifuku AGHHDA11 10.5" Operator Interface Display Panel Used Working
Daifuku AGHHDA11 10.5" Operator Interface Display Panel Used Working
 601.18  
Unit Instruments UCAL-1000 Mass Flow Transfer Calibrator
Unit Instruments UCAL-1000 Mass Flow Transfer Calibrator
 998.00  
Robot Effector Robot ARM
Robot Effector Robot ARM
 2 700.00  
Ultralynn Diffusor 6-97202-14
Ultralynn Diffusor 6-97202-14
 750.00  
AMAT 0140-21235  H/A  AC Power CH  F  HTR  Cryo  Control  Cable Assembly  413798
AMAT 0140-21235  H/A  AC Power CH  F  HTR  Cryo  Control  Cable Assembly  413798
 400.00  
Applied Materials Centura 4.0 Fi Controller 0010-24209  Cp3308-s000534
Applied Materials Centura 4.0 Fi Controller 0010-24209  Cp3308-s000534
 6 500.00  
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. 11 Used
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. 11 Used
 1 508.18  
Tokyo Electron MPC-T0098A-11
Tokyo Electron MPC-T0098A-11
 601.65  
AMAT Applied Materials 0020-22892 Bucket Shield Used Working
AMAT Applied Materials 0020-22892 Bucket Shield Used Working
 506.18  
Xycarb Ceramics 10343080 150mm Long Tube Chamber ASM 4659256-002 Refurbished
Xycarb Ceramics 10343080 150mm Long Tube Chamber ASM 4659256-002 Refurbished
 1 504.18  
Electroglas DPS Camera.269723-001  262835-001 Rev A
Electroglas DPS Camera.269723-001  262835-001 Rev A
 1 449.00  
Tel Tokyo Electron Teb103 Fpif Board
Tel Tokyo Electron Teb103 Fpif Board
 350.00  
Kurt Lesker QF-SSC-ALI Single Claw Clamp Aluminum Thread 5/16 (LOT OF 4) NEW
Kurt Lesker QF-SSC-ALI Single Claw Clamp Aluminum Thread 5/16 (LOT OF 4) NEW
 15.00  
Patlite EMB-24 Speaker USED
Patlite EMB-24 Speaker USED
 300.00  
AMAT 0150-05213  Cable  Assy  Per Ch.  Ch. PMP Shut DWN I  410910
AMAT 0150-05213  Cable  Assy  Per Ch.  Ch. PMP Shut DWN I  410910
 175.00  
Barnant 900-1555 Pump  Working
Barnant 900-1555 Pump  Working
 300.00  
Wika Transmitter Eco-1 7923245
Wika Transmitter Eco-1 7923245
 399.00  
Novellus 03-137211-01 Turbo Pump Cable  422791
Novellus 03-137211-01 Turbo Pump Cable  422791
 250.00  
9025360-002 / 7355x Control Unit Front Panel Assy / Bruce Systems
9025360-002 / 7355x Control Unit Front Panel Assy / Bruce Systems
 325.03  
Applied Materials  531796-2 9005  0100-09020 Rev.e  Fuse Board. 411621
Applied Materials  531796-2 9005  0100-09020 Rev.e  Fuse Board. 411621
 200.00  
Lam 810-800081-016 P2 Mb vme Etch Board
Lam 810-800081-016 P2 Mb vme Etch Board
 499.00  
Nihon Protector gas sensor V1-050-24
Nihon Protector gas sensor V1-050-24
 19.99  
7310-0400-010 Bracket For AG Asscoiates Heatpulse with Fittings
7310-0400-010 Bracket For AG Asscoiates Heatpulse with Fittings
 250.00  
ASM Advanced Semiconductor Materials 1047-158-01 Base Holder Vessel New Surplus
ASM Advanced Semiconductor Materials 1047-158-01 Base Holder Vessel New Surplus
 302.19  
AMAT 0050-53491 Weldment  ZDV Final Gasline  410903
AMAT 0050-53491 Weldment  ZDV Final Gasline  410903
 225.00  
SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003 Used Working
SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003 Used Working
 704.16  
140590-001 / Pcb Board  Secs Communication Card / Thermco
140590-001 / Pcb Board  Secs Communication Card / Thermco
 2 495.00  
350-0102// Amat Applied 0205-01874 Applied Matrials Components [used]
350-0102// Amat Applied 0205-01874 Applied Matrials Components [used]
 150.00  
Obotech Part # 0375873A:98553
Obotech Part # 0375873A:98553
 10.00  
SMC XGTX270-47336-1C-X846 Valve
Top-Rated Plus Seller SMC XGTX270-47336-1C-X846 Valve
 549.99  
110-0501// Kikusui Ksg4300 Fm-am Signal Generator [asis]
110-0501// Kikusui Ksg4300 Fm-am Signal Generator [asis]
 500.00  
Nikon 4S007-664 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-D NSR-S202A Used
Nikon 4S007-664 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-D NSR-S202A Used
 456.18  
Universal Instruments 44548001-C Illum DRVR Module  9-Pin Interface
Universal Instruments 44548001-C Illum DRVR Module  9-Pin Interface
 195.00  
Cos Gc-96rw Power Supply Dc24v  Used
Cos Gc-96rw Power Supply Dc24v  Used
 300.00  
PN 7100-5194-01 AG Associates Heatpulse PCB 2100-0090 7100-2181-01 /02/03
PN 7100-5194-01 AG Associates Heatpulse PCB 2100-0090 7100-2181-01 /02/03
 399.00  
Digilab 012-0416 Memory Board PCB 882-0416 Rev. B Untested As-Is
Digilab 012-0416 Memory Board PCB 882-0416 Rev. B Untested As-Is
 452.12  
Lam 16 IGS motherboard  DGF assy 810-031325-003 rev A
Lam 16 IGS motherboard  DGF assy 810-031325-003 rev A
 629.00  
Comet CFMN-100HAC/20-AE-0 Mini Cap Used ^95880
Comet CFMN-100HAC/20-AE-0 Mini Cap Used ^95880
 49.90  
AMAT NDM D 444  Chamber Hinge Attachment. 416546
AMAT NDM D 444  Chamber Hinge Attachment. 416546
 250.00  
0050-36604 / Line lower Exhaust rtp Chmbr / Applied Materials Amat
0050-36604 / Line lower Exhaust rtp Chmbr / Applied Materials Amat
 819.56  
Novellus 03-130722-01 Cable  406343
Novellus 03-130722-01 Cable  406343
 250.00  
SCP Global Technology 18633-01 auxiliary relay unit remanufactured
SCP Global Technology 18633-01 auxiliary relay unit remanufactured
 69.99  
Hitachi ZVL428 PCP  USED
Hitachi ZVL428 PCP  USED
 300.00  
Ulvac DC-7017SI II DC Power Supply
Ulvac DC-7017SI II DC Power Supply
 2 498.98  
Liner gdp r2 oxide Geco 0020-34694 S/n.119426-236-044
Liner gdp r2 oxide Geco 0020-34694 S/n.119426-236-044
 3 500.00  
Laminator
Laminator
 145 000.00  
Graphite Liner VG-Magnet Duct 17239360 REV A
Graphite Liner VG-Magnet Duct 17239360 REV A
 150.00  
Mattson 1012366 Signal Transfer Rack *Used Working  90 Day Warranty*
Mattson 1012366 Signal Transfer Rack *Used Working  90 Day Warranty*
 700.00  490.00  
17124920 / Ina 14264 Upd To 17138590 Support Shield / Axcelis Technologies
17124920 / Ina 14264 Upd To 17138590 Support Shield / Axcelis Technologies
 1 441.80  
AMAT 0200-10506  Cover  Quartz  8"  Ext Poly  6.1mm. 417401
AMAT 0200-10506  Cover  Quartz  8"  Ext Poly  6.1mm. 417401
 350.00  
FSI B/N290102-200 Rev A 290102-400 Rev B Solder Side ESI 752017 PCB
FSI B/N290102-200 Rev A 290102-400 Rev B Solder Side ESI 752017 PCB
 499.00  
Emp-c-26-90 (lot Of 6) / Mesh  Semi-circle / Zmisc
Emp-c-26-90 (lot Of 6) / Mesh  Semi-circle / Zmisc
 270.82  
2 Anelva 0068364901  E-93392  Argon Intlk box A. 412125
2 Anelva 0068364901  E-93392  Argon Intlk box A. 412125
 220.00  
ASML 4022.436.80281  Acromag AVME 9125
ASML 4022.436.80281  Acromag AVME 9125
 1 299.00  
Turck RSV RKV 57100-3.5M U-33563 Cordset
Top-Rated Plus Seller Turck RSV RKV 57100-3.5M U-33563 Cordset
 99.99  
ASM Advanced Semiconductor Materials 2103-0397 Bulk CabSpill Cable 4503427 New
ASM Advanced Semiconductor Materials 2103-0397 Bulk CabSpill Cable 4503427 New
 403.19  
RECIF Technologies IDLW8-A9087 Cassette  Sensor  B  OCR Optical Character 450322
RECIF Technologies IDLW8-A9087 Cassette  Sensor  B  OCR Optical Character 450322
 95.00  
021534-01(lot Of 3) / Stepping Motor  021534-01 / Ead
021534-01(lot Of 3) / Stepping Motor  021534-01 / Ead
 250.68  
1953  2 Applied Materials (01-81912-00 & 01-81912-00/C) Analog Interface Units
1953 2 Applied Materials (01-81912-00 & 01-81912-00/C) Analog Interface Units
 3 000.00  
SCI Solid Controls 440-560 Processor Board PCB Card 440-579 Used Working
SCI Solid Controls 440-560 Processor Board PCB Card 440-579 Used Working
 606.18  
FSI B/N290097-200 Rev A 290097-400 Solder Side ESI 838040 PCB
FSI B/N290097-200 Rev A 290097-400 Solder Side ESI 838040 PCB
 499.00  
Quartz Tray Fork
Quartz Tray Fork
 220.00  
16-271392-00g 1040096 1419 052
16-271392-00g 1040096 1419 052
 1 800.00  
Patlite WME-402A-YCGR Signal Tower (lot of 2) new surplus
Patlite WME-402A-YCGR Signal Tower (lot of 2) new surplus
 150.00  105.00  
Shinkawa CMU-13A Controller 100VAC only
Shinkawa CMU-13A Controller 100VAC only
 289.00  
ASML Used 4022.472.89662 EMFB SEM-I-551=8F21
ASML Used 4022.472.89662 EMFB SEM-I-551=8F21
 699.90  
AMAT 0190-09081 Insulator Kit  Ampule  Dopant  423649
AMAT 0190-09081 Insulator Kit  Ampule  Dopant  423649
 350.00  
Vacuum General 80-2 Throttle Valve Controller  422139
Vacuum General 80-2 Throttle Valve Controller  422139
 150.00  
NEW MKS TECHNOLOGIES 492015-1066 HEATER JACKET- 1.5” Diameter ELBOW 120 VAC
NEW MKS TECHNOLOGIES 492015-1066 HEATER JACKET- 1.5” Diameter ELBOW 120 VAC
 250.00  
Applied Materials Noah precision LAM RCM 900-RSSB REMOTE START STOP
Top-Rated Plus Seller Applied Materials Noah precision LAM RCM 900-RSSB REMOTE START STOP
 199.99  139.99  
New Amat Applied Materials 0050-71471 Vacuum Fitting
New Amat Applied Materials 0050-71471 Vacuum Fitting
 169.99  
New Amat Applied Materials 0050-41405 Vacuum Fitting
New Amat Applied Materials 0050-41405 Vacuum Fitting
 169.99  
SCP Global 3270131E MCS Chemical Dispense Controller
SCP Global 3270131E MCS Chemical Dispense Controller
 199.99  
AMAT 0200-00038 Ring  Clamping  100mm  Rigid  3/16 THK  Oxide  417408
AMAT 0200-00038 Ring  Clamping  100mm  Rigid  3/16 THK  Oxide  417408
 295.00  
Parker GAFS-42 Compression Tube Fitting Reseller Lot of 16 New Surplus
Parker GAFS-42 Compression Tube Fitting Reseller Lot of 16 New Surplus
 402.12  
JZ91Z-11  PCB INTERFACE ADD-ON BOARD MEIDEN Used
JZ91Z-11 PCB INTERFACE ADD-ON BOARD MEIDEN Used
 415.00  
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  451731
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  451731
 195.00  
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451732
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451732
 195.00  
Telemecanique La1kn31 Nsmp
Telemecanique La1kn31 Nsmp
 16.00  
Lesker Copper Target Cu 99.99% 3" Diam .25" thick EJTCUXX403A4
Lesker Copper Target Cu 99.99% 3" Diam .25" thick EJTCUXX403A4
 80.00  
Amat 0021-79841 Shield Mount   Used
Amat 0021-79841 Shield Mount   Used
 300.00  
AMAT 0190-22205 Rev. 001 ENG SPECIFICATION TEMP CONTROLLER 6 Channel Yamatake
AMAT 0190-22205 Rev. 001 ENG SPECIFICATION TEMP CONTROLLER 6 Channel Yamatake
 1 999.99  
New Amat Applied Materials 0050-76406 Acuum Fitting
New Amat Applied Materials 0050-76406 Acuum Fitting
 169.99  
Cost Efective Equipment 3000 Hotplate
Cost Efective Equipment 3000 Hotplate
 4 995.00  
TOK M-4-20048R Bellows  For Subtable 328920
TOK M-4-20048R Bellows  For Subtable 328920
 150.00  
Span Vacuum - 60 PSI Pressure Gauge Millipore 01-0110-D Metal Gasket Fittings
Span Vacuum - 60 PSI Pressure Gauge Millipore 01-0110-D Metal Gasket Fittings
 79.99  
ANELVA Gasket 953-5014 (New) Quantity 6
ANELVA Gasket 953-5014 (New) Quantity 6
 69.00  
Entegris W2501KP01 Photo-250 Pump Fastech Cable Used Working
Entegris W2501KP01 Photo-250 Pump Fastech Cable Used Working
 156.18  
7310-4146-01 tube n2/purge retro intel  A Version
7310-4146-01 tube n2/purge retro intel  A Version
 900.00  
Marquardt Foot Pedal
Marquardt Foot Pedal
 40.00  
AMAT D/A Digital Analog Converter  PCB  03-72526-00  5400-D-0033  672528  422922
AMAT D/A Digital Analog Converter  PCB  03-72526-00  5400-D-0033  672528  422922
 250.00  
New Microset/electronic Card/pcb Us-036
New Microset/electronic Card/pcb Us-036
 75.00  
SVG Silicon Valley Group 8790317006 Light Filter Rev. A Used Working
SVG Silicon Valley Group 8790317006 Light Filter Rev. A Used Working
 704.16  
Ckd Board 0306-006-a  Isa
Ckd Board 0306-006-a Isa
 249.90  
1951 Lot of 2 Applied Materials Ion TC Controllers
1951 Lot of 2 Applied Materials Ion TC Controllers
 950.00  
Hitachi ZVL681-1 BORAD  USED
Hitachi ZVL681-1 BORAD  USED
 300.00  
Tegal 903e Tegal 901e Nest 3 Inch
Tegal 903e Tegal 901e Nest 3 Inch
 650.00  
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424149
AMAT 0020-23043 Upper Shield  Tin  8" Wafer 424149
 150.00  
Amat 3870-01215 Valve Blws Pneu 2.03 90 Deg Sst Bored Nw
Amat 3870-01215 Valve Blws Pneu 2.03 90 Deg Sst Bored Nw
 500.00  
Udk5114nw2 / Driver Motor  / Vexta
Udk5114nw2 / Driver Motor / Vexta
 862.80  
AMAT 0040-91997  U03-04-1  Chimney. 417206
AMAT 0040-91997  U03-04-1  Chimney. 417206
 350.00  
TOSOH 325000084 Rev B DOOR SS CVD 2" 10.332" KALREZ NOTE: ST Used
TOSOH 325000084 Rev B DOOR SS CVD 2" 10.332" KALREZ NOTE: ST Used
 408.18  
102461-000 / Daughter Card / Micro Control Company
102461-000 / Daughter Card / Micro Control Company
 156.82  
View Engineering Integrated Video Processor ASSY 2109430-507
View Engineering Integrated Video Processor ASSY 2109430-507
 150.00  
Chuck Bottom  AWM-C-5-6
Chuck Bottom AWM-C-5-6
 125.00  
AG Associates Purge Interface 9830-2090 PCB
AG Associates Purge Interface 9830-2090 PCB
 250.00  
Swagelok SS-DLFR4-P Diaphragm Valve Assy  452407
Swagelok SS-DLFR4-P Diaphragm Valve Assy  452407
 295.00  
FEI company CPS-PA-2  module with cable with warranty
FEI company CPS-PA-2 module with cable with warranty
 1 500.00  
Nikon RBP-21WH-I/NIK Backplane Interface Board PCB NSR-S307E DUV System Used
Nikon RBP-21WH-I/NIK Backplane Interface Board PCB NSR-S307E DUV System Used
 1 508.18  1 055.73  
Fujikin 316L-P Diaphragm Valve  APWYM000  454751  1/4" VCR  452084
Fujikin 316L-P Diaphragm Valve  APWYM000  454751  1/4" VCR  452084
 195.00  
Novellus 16-029857-00 Evaporator Top  Swagelok 316LV Micro-Fit  1/2" VCR  452267
Novellus 16-029857-00 Evaporator Top  Swagelok 316LV Micro-Fit  1/2" VCR  452267
 150.00  
Veriflo 3-Way Diaphragm Valve  452679
Veriflo 3-Way Diaphragm Valve  452679
 150.00  
Fujikin 316L-P Diaphragm Valve  AQ8MB000  429504  1/4" VCR  452082
Fujikin 316L-P Diaphragm Valve  AQ8MB000  429504  1/4" VCR  452082
 195.00  
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve  N/C  60-90 PSI  452459
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve  N/C  60-90 PSI  452459
 150.00  
Gas Valve Assy  Parker Veriflo 43800528  APtech AP3625NS  SPANS Gauge  452687
Gas Valve Assy  Parker Veriflo 43800528  APtech AP3625NS  SPANS Gauge  452687
 150.00  
AMAT 0020-22170 SST Cover Shield w/ Spacer  416594
AMAT 0020-22170 SST Cover Shield w/ Spacer  416594
 150.00  
AC Power Supply 0-15 VOLTS  453276
AC Power Supply 0-15 VOLTS  453276
 150.00  
Applied Materials 0040-09557 Chamber Body  ASP
Applied Materials 0040-09557 Chamber Body  ASP
 4 995.00  
07930860 Rev. J
07930860 Rev. J
 79.99  
AMAT 0020-80073  Issue.B  Electrode Suppression (GP). 416709
AMAT 0020-80073  Issue.B  Electrode Suppression (GP). 416709
 350.00  
Feedthru Assy Suppressor Accel Column E17012220 (193895) Rev 3
Feedthru Assy Suppressor Accel Column E17012220 (193895) Rev 3
 850.00  
Parker FAMS-2020 1 1/4 Straight Flare Adapter Flare X MNPT NEW Open Box
Parker FAMS-2020 1 1/4 Straight Flare Adapter Flare X MNPT NEW Open Box
 59.99  
Eugenetec Dry Pump If Bd Interface Board Pcb - Lot Of 3 (b1)
Top-Rated Plus Seller Eugenetec Dry Pump If Bd Interface Board Pcb - Lot Of 3 (b1)
 75.00  56.25  
AMAT 0020-D6310 REV A Plate
AMAT 0020-D6310 REV A Plate
 199.99  
7100-5746-01 Ring  Slip-free   5in  7450-0032-05
7100-5746-01 Ring  Slip-free  5in 7450-0032-05
 1 500.00  
Tegal 903e Tegal 901e Nest 4 Inch Shorter
Tegal 903e Tegal 901e Nest 4 Inch Shorter
 650.00  
AMAT 0010-01076 Cable  Hoist 414543
AMAT 0010-01076 Cable  Hoist 414543
 150.00  
Precise Sensors 70425-250-01-GA Sensor Readout Used Working
Precise Sensors 70425-250-01-GA Sensor Readout Used Working
 603.18  
Vexta PH265L-04 2-Phase Stepping Motor  DC 5V  1A  410099
Vexta PH265L-04 2-Phase Stepping Motor  DC 5V  1A  410099
 150.00  
GAST D0A-U191-AA Pump
GAST D0A-U191-AA Pump
 195.00  
Nikon 4k779-044ab Cable Carrier Roller Holder
Nikon 4k779-044ab Cable Carrier Roller Holder
 150.00  
ASML Used 4022.488.17101 4022.639.61471 4022.642.64911 SEM-I-838=9G22
ASML Used 4022.488.17101 4022.639.61471 4022.642.64911 SEM-I-838=9G22
 1 299.90  
Amat 0021-79845 Bracket  Motor   Used
Amat 0021-79845 Bracket  Motor   Used
 300.00  
KoMiCo Technology S31A-GD-010-A GDP 1.0mm Plate 500080005 Used Working
KoMiCo Technology S31A-GD-010-A GDP 1.0mm Plate 500080005 Used Working
 507.15  
Yokogawa Meter With 93-957-001 Cable
Yokogawa Meter With 93-957-001 Cable
 175.00  
UE United Electric MCG-16 PG16 Strain Relief NEW
UE United Electric MCG-16 PG16 Strain Relief NEW
 19.99  
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423868
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423868
 195.00  
New Amat Applied Materials 0050-51724 Vacuum Fitting
New Amat Applied Materials 0050-51724 Vacuum Fitting
 169.99  
MS-0040-A Cylinder  Rod. I19-12  450459
MS-0040-A Cylinder  Rod. I19-12  450459
 130.00  
Schumacher 1730-3002 Reservoir Controller PCB Card 1731-3002 Rev. G Used
Schumacher 1730-3002 Reservoir Controller PCB Card 1731-3002 Rev. G Used
 405.17  
AC DC Power Box For AG Associates Heatpulse
AC DC Power Box For AG Associates Heatpulse
 2 500.00  
BOC Edwards Varistor Box1 P/N: B721-32-010
BOC Edwards Varistor Box1 P/N: B721-32-010
 389.00  
AMAT 0040-01424 Retainer  Bearing  Arm  ECP  411005
AMAT 0040-01424 Retainer  Bearing  Arm  ECP  411005
 400.00  
AMAT 0140-00884 Harness Assembly  Motion Control Interlock  410539
AMAT 0140-00884 Harness Assembly  Motion Control Interlock  410539
 250.00  
RoHS CONFORM VGA02Z 7K141175 ADAPTER
RoHS CONFORM VGA02Z 7K141175 ADAPTER
 64.50  
130-0201// Radisys 61-0367-38 486 Used
130-0201// Radisys 61-0367-38 486 Used
 3 500.00  
S-909764 / Switching Sel  S-909764  Lambda / Lambda Electronics
S-909764 / Switching Sel  S-909764  Lambda / Lambda Electronics
 320.82  
Assy  Cable  Tilt  Right 260CB172-03
Assy  Cable  Tilt  Right 260CB172-03
 250.00  
3161521 / Analog I-o Microprocessor-microcontroller  3161525v15 / Btu Eng.bruce
3161521 / Analog I-o Microprocessor-microcontroller  3161525v15 / Btu Eng.bruce
 200.82  
Brooks 5896B19A Readout Mass Flow Controller  5896  421166
Brooks 5896B19A Readout Mass Flow Controller  5896  421166
 295.00  
455352A Valve
455352A Valve
 520.00  
Echotek Corporation ADIQ CAGE CODE OBDGI REV A
Echotek Corporation ADIQ CAGE CODE OBDGI REV A
 1 500.00  
T172975 Silicon Thermal Pneumatic Adjustable Cantilever Powerdrive
T172975 Silicon Thermal Pneumatic Adjustable Cantilever Powerdrive
 100.00  
0992-60477 Rev B Robot Arm Effector Matrix
0992-60477 Rev B Robot Arm Effector Matrix
 2 700.00  
Berkeley Process Control 12" BX-CA-FF-M10-1" Servo Cable
Top-Rated Plus Seller Berkeley Process Control 12" BX-CA-FF-M10-1" Servo Cable
 99.99  
1 x NEW APPLIED MATERIALS 0090-07393 REV .01 FILTER
1 x NEW APPLIED MATERIALS 0090-07393 REV .01 FILTER
 5 100.00  
Hitachi ZVL877 PCP  USED
Hitachi ZVL877 PCP  USED
 300.00  
Hitachi ZVL999 PCP  USED
Hitachi ZVL999 PCP  USED
 300.00  
Hitachi ZVL613 PCP  USED
Hitachi ZVL613 PCP  USED
 300.00  
Opto-Micron FX610 No. 610358 Precision Motorized Mechanical Stage
Opto-Micron FX610 No. 610358 Precision Motorized Mechanical Stage
 199.95  
28f6518f Asm28f6519 28f6520 Pcb Ds-4-11-003
28f6518f Asm28f6519 28f6520 Pcb Ds-4-11-003
 450.00  
B&G Precision 56-010559 Overcenter SMIF OP Paddle Used Working
B&G Precision 56-010559 Overcenter SMIF OP Paddle Used Working
 507.15  
Nikon 4S007-794 Interface Board PCB XB-STGP/H NSR-S202A System Used
Nikon 4S007-794 Interface Board PCB XB-STGP/H NSR-S202A System Used
 607.18  
Edwards Pv10pka B
Edwards Pv10pka B
 79.99  
TEL Tokyo Electron ME3-109690-B Drive Element Thermal Insulator 1130772565 New
TEL Tokyo Electron ME3-109690-B Drive Element Thermal Insulator 1130772565 New
 405.18  
Anelva A/D Converter Conv Schematic h11-09728 rev 01 853-2503
Anelva A/D Converter Conv Schematic h11-09728 rev 01 853-2503
 250.00  
OEM Nview Corporation View Frame Model 11+2 with AC Adaptor and Carrying Case
OEM Nview Corporation View Frame Model 11+2 with AC Adaptor and Carrying Case
 249.99  
High Yield Technology PM-250 Vacuum Particle Controller
Top-Rated Plus Seller High Yield Technology PM-250 Vacuum Particle Controller
 129.99  103.99  
Matrix Integrated Systems TRANSPORT INTERFACE 1000-0019 REV B 1010-0019 REV R
Matrix Integrated Systems TRANSPORT INTERFACE 1000-0019 REV B 1010-0019 REV R
 499.00  
COSMOS GAS DETECTOR SiH4 PS-4DP
COSMOS GAS DETECTOR SiH4 PS-4DP
 199.99  
T0893 6035-a Rev C Assy D110986-g1
T0893 6035-a Rev C Assy D110986-g1
 499.00  
130-0302// Amat Applied 0226-00671 Applied Matrials Components Used
130-0302// Amat Applied 0226-00671 Applied Matrials Components Used
 1 000.00  
Air Products AP12251 Front Panel Display Board PCB Used Working
Air Products AP12251 Front Panel Display Board PCB Used Working
 503.18  
Nozzle grease Pump 43615403
Nozzle grease Pump 43615403
 60.00  
Harness Assy  005661-00  451806
Harness Assy  005661-00  451806
 450.00  
Nikon / RSM Pneumatic Box RSM Serial number M-X4B11101
Nikon / RSM Pneumatic Box RSM Serial number M-X4B11101
 1 250.00  
Amat 0200-18053 Lift Pin Guide   New
Amat 0200-18053 Lift Pin Guide   New
 100.00  
Biscuit Model Bt2a1 Pn O28448 Quintel Lamp Housing
Biscuit Model Bt2a1 Pn O28448 Quintel Lamp Housing
 2 950.00  
Horiba Stec Sec-f740bm A1 Mass Flow Controller Hf Gas 5slm   Used
Horiba Stec Sec-f740bm A1 Mass Flow Controller Hf Gas 5slm   Used
 300.00  
Motor Control I/O Board CRR804/5  PCB  912000145/7  651000142  410399
Motor Control I/O Board CRR804/5  PCB  912000145/7  651000142  410399
 200.00  
Srd Rotor A189-06-25
Srd Rotor A189-06-25
 399.00  
Pittman 6400-0018-01 Servomotor  418273
Pittman 6400-0018-01 Servomotor  418273
 150.00  
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451733
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451733
 195.00  
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451739
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451739
 195.00  
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451740
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451740
 195.00  
1948 Applied Materials 8100D (P/N: 02220-02218/A) DC Power Supply
1948 Applied Materials 8100D (P/N: 02220-02218/A) DC Power Supply
 90.00  
Novellus 03-137211-01 Turbo Pump Cable  422790
Novellus 03-137211-01 Turbo Pump Cable  422790
 250.00  
Applied Materials ASAP Board 0100-A0009 0100-A0030
Applied Materials ASAP Board 0100-A0009 0100-A0030
 2 900.00  
AMAT SCREW  slotted PAN HD  8-32 X 5/16L  MONE (P/N: 0020-18366)
AMAT SCREW  slotted PAN HD  8-32 X 5/16L  MONE (P/N: 0020-18366)
 40.00  
AMAT 0140-00726 Harness Assy Lamp Integration LTESC  424061
AMAT 0140-00726 Harness Assy Lamp Integration LTESC  424061
 595.00  
MEGACERA Used CSHE-4111S RS-HY010 SEM-I-1054=9A4B
MEGACERA Used CSHE-4111S RS-HY010 SEM-I-1054=9A4B
 799.90  
NTE Electronics 504-0042 KNOB MACHINED ALUM W/POS LINE .925" DIA .125" SHAFT
Top-Rated Plus Seller NTE Electronics 504-0042 KNOB MACHINED ALUM W/POS LINE .925" DIA .125" SHAFT
 10.66  
Shinko Technos Co. Temperature Controller Gcs-23a-r/e Multi Range 24v Ac/dc
Shinko Technos Co. Temperature Controller Gcs-23a-r/e Multi Range 24v Ac/dc
 119.99  
SI Span Instruments 4000 PSI Vacuum Pressure Gauge  450270
SI Span Instruments 4000 PSI Vacuum Pressure Gauge  450270
 115.00  
Bruker Type AM/AC P1L PFP Card
Bruker Type AM/AC P1L PFP Card
 75.00  
Ancosys T 051 0053 Filter Ptfe Solvent Compl
Ancosys T 051 0053 Filter Ptfe Solvent Compl
 50.00  
Robot Effector Robot ARM AWR-BB-4-001
Robot Effector Robot ARM AWR-BB-4-001
 495.00  
Battery Backup Unit For AG Associates Heatpulse
Battery Backup Unit For AG Associates Heatpulse
 280.00  
Novellus 16-029857-00 Evaporator Top  Swagelok 316LV Micro-Fit  1/2" VCR  452270
Novellus 16-029857-00 Evaporator Top  Swagelok 316LV Micro-Fit  1/2" VCR  452270
 150.00  
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423871
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423871
 195.00  
AMAT 0020-21466  100000341  Shield TIW 5" Applied Material 0020-21466-H  418313
AMAT 0020-21466  100000341  Shield TIW 5" Applied Material 0020-21466-H 418313
 250.00  
Horiba Stec Sec-f740bm A1 Mass Flow Controller F2 Gas 5slm   Used
Horiba Stec Sec-f740bm A1 Mass Flow Controller F2 Gas 5slm   Used
 300.00  
8pcs New GE Ceramics 100mm Mo Heat Shield Baffle Molly Baffel
8pcs New GE Ceramics 100mm Mo Heat Shield Baffle Molly Baffel
 299.99  149.99  
CEG Motor  MM71B4-0MLTG / 0.37kW / Hp 0.5 / 50~60Hz / 230v / CEG NEW
Top-Rated Plus Seller CEG Motor  MM71B4-0MLTG / 0.37kW / Hp 0.5 / 50~60Hz / 230v / CEG NEW
 933.35  
SPAN LR100 Digital Display System
SPAN LR100 Digital Display System
 109.99  
AMAT 0140-20030 Harness Assembly  24V PS  24V J1  J2 Main 413855
AMAT 0140-20030 Harness Assembly  24V PS  24V J1  J2 Main 413855
 295.00  
932cbl200.019(lot Of 8) / Wec Technology Carbonleaf Inserts / Wec Technology Inc
932cbl200.019(lot Of 8) / Wec Technology Carbonleaf Inserts / Wec Technology Inc
 2 200.82  
PN 7100-5117 AG Associates Heatpulse PCB 7100-5117-01?
PN 7100-5117 AG Associates Heatpulse PCB 7100-5117-01?
 2 200.00  
AGM Electronics Direct Current Transmitter TA-4000-13
AGM Electronics Direct Current Transmitter TA-4000-13
 50.00  
TEL Tokyo Electron MPC-T0059A-11 PCB Board
TEL Tokyo Electron MPC-T0059A-11 PCB Board
 580.00  
Balzers BG 290 561 U Transformer PCB Card BG 290 565 U Used Working
Balzers BG 290 561 U Transformer PCB Card BG 290 565 U Used Working
 457.16  
Balzers BG 290 561 U Transformer PCB Card BG 290 564 U Used Working
Balzers BG 290 561 U Transformer PCB Card BG 290 564 U Used Working
 457.16  
95-3531 REV. B PCB Sea level Systems
95-3531 REV. B PCB Sea level Systems
 499.00  
Matheson Nanochem P-8000 Series P Purifier
Matheson Nanochem P-8000 Series P Purifier
 2 600.00  
Aseco PCB p/n 10-0921-00 030890-4. Comp. side: 10-0924-00  serial#8620-4
Aseco PCB p/n 10-0921-00 030890-4. Comp. side: 10-0924-00  serial#8620-4
 285.95  
MCT 32 Channel/Driver 3616 107701 Rev. D  PCB. Made in USA.
MCT 32 Channel/Driver 3616 107701 Rev. D PCB. Made in USA.
 285.95  
MCT 107777 Rev. D  PCB. Made in USA.
MCT 107777 Rev. D PCB. Made in USA.
 285.95  
Berkeley Process Controls MWT-8-MNET Machineworks Machine controller 2.1.1.
Berkeley Process Controls MWT-8-MNET Machineworks Machine controller 2.1.1.
 950.00  
Bruker Type AQX FAN Unit
Bruker Type AQX FAN Unit
 150.00  
Festo Mha1-24-24-3 Solenoid Valve
Festo Mha1-24-24-3 Solenoid Valve
 120.00  
KYOWA WGA-100A Instruments Amplifier 1140100000 Unused Japan^95943
KYOWA WGA-100A Instruments Amplifier 1140100000 Unused Japan^95943
 129.00  
AMAT 0150-09276 Cable  Over Pressure HE  408495
AMAT 0150-09276 Cable  Over Pressure HE  408495
 195.00  
Leybold AG 200.81.080 Temp-Box Controller  451975
Leybold AG 200.81.080 Temp-Box Controller  451975
 150.00  
2 Amat 0190-04827 Cdo  Union  1-1/2 Soc   214-24-026  409092
2 Amat 0190-04827 Cdo  Union  1-1/2 Soc   214-24-026  409092
 150.00  
AMAT 0140-21363 Harness  Cable Interlock 414123
AMAT 0140-21363 Harness  Cable Interlock 414123
 225.00  
SMC Compact Cylinder 10-CDQSB12-10D 10CDQSB1210D New
SMC Compact Cylinder 10-CDQSB12-10D 10CDQSB1210D New
 20.00  
Lot of 2 Swagelok MS-SWITCH-93K MICROSWITCH KIT
Lot of 2 Swagelok MS-SWITCH-93K MICROSWITCH KIT
 96.00  
DGAC-TSUF3XXX/TIVLCT 2.430 THK 994553-B- Lot of 4
DGAC-TSUF3XXX/TIVLCT 2.430 THK 994553-B- Lot of 4
 499.00  
Amat 0010-76535 Assy  Pneumatic Logic Box Tilt Cassette   Used
Amat 0010-76535 Assy  Pneumatic Logic Box Tilt Cassette   Used
 3 800.00  
Novellus 04-717947-01 Shield  Dep Quanium SCR.  Varian 04717947-01  420723
Novellus 04-717947-01 Shield  Dep Quanium SCR.  Varian 04717947-01  420723
 250.00  
AMAT 0020-21465 Shield  AL  5" 424201
AMAT 0020-21465 Shield  AL  5" 424201
 250.00  
Smc Xgt312-47336-5c2-x1 Gate Valve 63-294083-09
Smc Xgt312-47336-5c2-x1 Gate Valve 63-294083-09
 1 750.00  
Applied Micro Technology 1317-1-F-0962-0787 Analog Input PCB Card ST4303-16 Used
Applied Micro Technology 1317-1-F-0962-0787 Analog Input PCB Card ST4303-16 Used
 460.17  
Axcelis Extraction Electrode Liner 17122880 REV A (99262-5)
Axcelis Extraction Electrode Liner 17122880 REV A (99262-5)
 140.00  
Saginomiya Qlk-2022pf201s Karuman Ace Flow Meter  Used
Saginomiya Qlk-2022pf201s Karuman Ace Flow Meter  Used
 160.00  
AMAT 0150-04398 Cable  Shelf #5  406034
AMAT 0150-04398 Cable  Shelf #5  406034
 250.00  
Smc Vq1201n-5 Solenoid Valve Manifold
Smc Vq1201n-5 Solenoid Valve Manifold
 200.00  
Bay Pneumatic Inc BES-530-8-PCB 80-095-255. (?) PWK52486 AWM-B-4-5-009
Bay Pneumatic Inc BES-530-8-PCB 80-095-255. (?) PWK52486 AWM-B-4-5-009
 155.00  
AMAT 0140-02328 H/A  UPS Power  VDT Outlet  3W 300MM 413743
AMAT 0140-02328 H/A  UPS Power  VDT Outlet  3W 300MM 413743
 175.00  
12 Inch Wafer With 13 Of 1.25x1.25 Inch Pockets
12 Inch Wafer With 13 Of 1.25x1.25 Inch Pockets
 2 500.00  
Edwards Tempest O-ring Y27201004 N1302b
Edwards Tempest O-ring Y27201004 N1302b
 395.00  
Tokyo Electron Pm3 Gas Inter Box  Used
Tokyo Electron Pm3 Gas Inter Box  Used
 500.00  
Pse-a127848161 / Pneumatic Sequencer Entry  Exit Module / Parker
Pse-a127848161 / Pneumatic Sequencer Entry  Exit Module / Parker
 45.82  
Migatron Tsr-1 New Ultrasonic Sensor Tsr1
Migatron Tsr-1 New Ultrasonic Sensor Tsr1
 200.00  
Applied 8330  Window  Loadlock  Side. 411527
Applied 8330  Window  Loadlock  Side. 411527
 350.00  
Psi Analytical Testing Pipes (lot Of 13)
Psi Analytical Testing Pipes (lot Of 13)
 2 499.00  
Phase Metrics 550430000 080611 Rev C
Phase Metrics 550430000 080611 Rev C
 200.00  
AMAT 0150-21726 Cable Assembly  Chamber 5 Umbilical  410888
AMAT 0150-21726 Cable Assembly  Chamber 5 Umbilical  410888
 250.00  
Tokyo Electron 3881-000019-12  3884-200001-11  Pcb  Lan.ttls06-11 Mmi-conv-f Pcb
Tokyo Electron 3881-000019-12  3884-200001-11  Pcb  Lan.ttls06-11 Mmi-conv-f Pcb
 200.00  
YASKAWA MOTIONPACK 110 whole unit
YASKAWA MOTIONPACK 110 whole unit
 800.00  
MMPIC MMPIC-20A-3 VS10034 Scanner Driver  Amplifier
Top-Rated Plus Seller MMPIC MMPIC-20A-3 VS10034 Scanner Driver  Amplifier
 199.99  
Turck BSMV BKFDV 14-M18-755-4/S653/S1055 U-15517 Versa Fast Cordset
Top-Rated Plus Seller Turck BSMV BKFDV 14-M18-755-4/S653/S1055 U-15517 Versa Fast Cordset
 99.99  
Eci Technology  Tq753244  Cartridge;tq753244 cartridge With Tubing
Eci Technology  Tq753244  Cartridge;tq753244 cartridge With Tubing
 689.00  
KLA 281-500104 208-500104-3 Bus Distribution Board for 1007 Prober
KLA 281-500104 208-500104-3 Bus Distribution Board for 1007 Prober
 99.99  
LTX 865-1481-00 Rev. A. VEN 12265. LTX ICEN-CIF BC circuit board.
LTX 865-1481-00 Rev. A. VEN 12265. LTX ICEN-CIF BC circuit board.
 275.95  
Techniquip Foi-250 250 Watt Fiber Optic Illuminator
Techniquip Foi-250 250 Watt Fiber Optic Illuminator
 120.00  
Organo Bb-5a Conductivity Module Nsnp
Organo Bb-5a Conductivity Module Nsnp
 193.00  
Ceramic NTK5 Ceramic Nozzle Tip Large 60mm  NEW
Ceramic NTK5 Ceramic Nozzle Tip Large 60mm  NEW
 15.00  
Ultratech Stepper 0553-700974 Laser Comparator Control Board Rev G  Pcb Assy
Ultratech Stepper 0553-700974 Laser Comparator Control Board Rev G  Pcb Assy
 2 000.00  
PN 7100-3181-03 AG Associates Heatpulse Susceptor?
PN 7100-3181-03 AG Associates Heatpulse Susceptor?
 5 500.00  
AMAT 0020-37635 Rev.P2  RDT-2302  Box Connectors Mount Floor. 418396
AMAT 0020-37635 Rev.P2  RDT-2302  Box Connectors Mount Floor. 418396
 245.00  
Nikon DD-T98030C Pre2 Detector Interface Board PCB TPC-ML NSR System Used
Nikon DD-T98030C Pre2 Detector Interface Board PCB TPC-ML NSR System Used
 1 509.18  1 056.43  
AMAT Loadlock Door  423941
AMAT Loadlock Door  423941
 750.00  
AMAT 0150-08083 4 Ch To Back Panel Cable Assembly 413887
AMAT 0150-08083 4 Ch To Back Panel Cable Assembly 413887
 275.00  
A4-0121-00 QUARTZ Plate   Thick
A4-0121-00 QUARTZ Plate   Thick
 400.00  
NOS TRU TRU-1227-GNX RF Connector Straight Adapter QRM to QC 50OHM
Top-Rated Plus Seller NOS TRU TRU-1227-GNX RF Connector Straight Adapter QRM to QC 50OHM
 35.99  11.95  
Nanochem OMX-PLUS 316L 81646
Top-Rated Plus Seller Nanochem OMX-PLUS 316L 81646
 799.00  
AMAT 0020-22237 Cover Ring  8" 424123
AMAT 0020-22237 Cover Ring  8" 424123
 895.00  
Nordiko A06996 Worm Wheel
Nordiko A06996 Worm Wheel
 200.00  
Furon Upm2-f46-m Manually Actuated 2-way Valve 1105684
Furon Upm2-f46-m Manually Actuated 2-way Valve 1105684
 500.00  
0040-50505 / Slit Valve Housing / Applied Materials Amat
0040-50505 / Slit Valve Housing / Applied Materials Amat
 720.68  
Ae1306 (lot Of 2) / 2 Led Ring Lights / Cttc
Ae1306 (lot Of 2) / 2 Led Ring Lights / Cttc
 99.62  
[Used] ANORAD / 83325-55/1-4-WF  WF730-200 / SERVO CONTROLLER
[Used] ANORAD / 83325-55/1-4-WF  WF730-200 / SERVO CONTROLLER
 1 498.00  
428-0203// Ead Motors La34bjk-1 Motor [used]
428-0203// Ead Motors La34bjk-1 Motor [used]
 150.00  
Amat 0021-04010 Cover  Front Panel  Gplis2 Enc. 411091
Amat 0021-04010 Cover  Front Panel  Gplis2 Enc. 411091
 150.00  
Horiba Stec Sec-f730m A1 Mass Flow Controller Nh3 Gas 2slm   Used
Horiba Stec Sec-f730m A1 Mass Flow Controller Nh3 Gas 2slm   Used
 300.00  
AMAT 0040-00559 Side Shield 4  407102
AMAT 0040-00559 Side Shield 4  407102
 175.00  
7.01.179 / Flat Panel Display / Tempress - General Signal
7.01.179 / Flat Panel Display / Tempress - General Signal
 65.68  
Canon BH8-0899-01 Drive Extender Board PCB Card BG9-4147 Working Spare  103843
Canon BH8-0899-01 Drive Extender Board PCB Card BG9-4147 Working Spare  103843
 150.00  
AMAT 0040-00556 Side Shield 1  407103
AMAT 0040-00556 Side Shield 1  407103
 175.00  
887678 / Communications / Commtech / Fastcom
887678 / Communications / Commtech / Fastcom
 300.82  
Keyence Corporation PZ-51L (5M)  Photoelectric Sensor  Transmitter  450693
Keyence Corporation PZ-51L (5M)  Photoelectric Sensor  Transmitter  450693
 75.00  
RF Generator Model LP2000-400k
RF Generator Model LP2000-400k
 1 500.00  
Panasonic MSD011A1XX AC Servo Driver  406068
Panasonic MSD011A1XX AC Servo Driver  406068
 650.00  
Amat 03-78669-00 W Pcb Do 412322
Amat 03-78669-00 W Pcb Do 412322
 550.00  
AMAT 0150-22609 Cable Assembly  I/O Block AIO WL ECP 405855
AMAT 0150-22609 Cable Assembly  I/O Block AIO WL ECP 405855
 250.00  
130-0402// Amat Applied 0100-09112 Applied Matrials Components Used
130-0402// Amat Applied 0100-09112 Applied Matrials Components Used
 850.00  
New Pall Kfp-00581 Resolute Pressure Filter
New Pall Kfp-00581 Resolute Pressure Filter
 113.99  
Lasertec C-100311D Processor PCB Card ChanelLink RCV C-100310D Used Working
Lasertec C-100311D Processor PCB Card ChanelLink RCV C-100310D Used Working
 1 602.19  
TEL Tokyo Electron MD-9253092 UTILITY COVER
TEL Tokyo Electron MD-9253092 UTILITY COVER
 407.18  
Kokusai Electric DN-130P(SA) Heater Over Temp Protection Unit Vertron Working
Kokusai Electric DN-130P(SA) Heater Over Temp Protection Unit Vertron Working
 404.12  
AMAT 0140-03019 Assy Cable Rear Panel Interlock  Harness  413844
AMAT 0140-03019 Assy Cable Rear Panel Interlock  Harness  413844
 150.00  
DIRECTED LIGHT CAV-10965 Cavity Reflector Gold Housing QTRNX116
DIRECTED LIGHT CAV-10965 Cavity Reflector Gold Housing QTRNX116
 1 207.18  
Tel Tokyo Electron 3d81-000046-v2 Tyb61l-1/elif
Tel Tokyo Electron 3d81-000046-v2 Tyb61l-1/elif
 1 500.00  
7310-3479-02 B+ 7310-1844-01 +7310-1845-01 Robot Effector AG Associates
7310-3479-02 B+ 7310-1844-01 +7310-1845-01 Robot Effector AG Associates
 2 700.00  
Thermo 97355-61040 Advanced Top Cover Board
Thermo 97355-61040 Advanced Top Cover Board
 275.00  
Amat 0020-13246 Part Location Service  Used
Amat 0020-13246 Part Location Service  Used
 300.00  
AMAT 0020-10121 Plate Perf 150mm OX  328999
AMAT 0020-10121 Plate Perf 150mm OX  328999
 175.00  
MEL Melss MBT 240 Unit New Surplus
MEL Melss MBT 240 Unit New Surplus
 1 906.12  
7100-5759-01 Asm S-f/pkg 8in N/flt Dtc b Version  Slip Free Ring
7100-5759-01 Asm S-f/pkg 8in N/flt Dtc b Version  Slip Free Ring
 1 500.00  
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423864
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423864
 195.00  
Berkeley Process Controls MWT-8-MNET Machineworks Machine controller AUTO
Berkeley Process Controls MWT-8-MNET Machineworks Machine controller AUTO
 950.00  
AMAT 0020-22237 Cover Ring  8" 424157
AMAT 0020-22237 Cover Ring  8" 424157
 895.00  
AMAT 0021-04010 Cover  Front Panel  GPLIS2 ENC  411123
AMAT 0021-04010 Cover  Front Panel  GPLIS2 ENC  411123
 150.00  
Shunt Detector Rev A Turboshunt/ets5v Ets Interface
Shunt Detector Rev A Turboshunt/ets5v Ets Interface
 109.99  
S&C Electric 005-111-4 Fiber Optic Board PCB 004-111 Module #8 Used Working
S&C Electric 005-111-4 Fiber Optic Board PCB 004-111 Module #8 Used Working
 710.17  
Interface  Ibx-4205 P/924/11-001[11]
Interface Ibx-4205 P/924/11-001[11]
 399.00  
Cleanpart Set Uppershield 0020-23278-04.27
Cleanpart Set Uppershield 0020-23278-04.27
 399.00  
AG Associates (Metron Technology) 7100-6360-01A Tray  Ceramic Shield  4 inch
AG Associates (Metron Technology) 7100-6360-01A Tray  Ceramic Shield  4 inch
 3 200.00  
AMAT 0140-09254  Cable  Harness  Box Fan Power. 414071
AMAT 0140-09254  Cable  Harness  Box Fan Power. 414071
 150.00  
Tegal 33-527-001 Bellow Chuck New Surplus
Tegal 33-527-001 Bellow Chuck New Surplus
 3 006.18  
MO-015-114   Air Shuttle Valve 102-0321 AWM-F-5-5-003
MO-015-114   Air Shuttle Valve 102-0321 AWM-F-5-5-003
 250.00  
Yaskawa Electric CRC-023 Processor Board PCB UZZZ002250 Nikon NSR System Working
Yaskawa Electric CRC-023 Processor Board PCB UZZZ002250 Nikon NSR System Working
 2 507.19  1 253.59  
671880 / Pcb Bd 00-671880-00 / Varian
671880 / Pcb Bd 00-671880-00 / Varian
 890.68  
Festo CPA-SC-FB-VI Manifold Assembly
Festo CPA-SC-FB-VI Manifold Assembly
 499.49  
387-00006-000 / Probe  Concen  Solids  Analog  0.05-50 / Celerity
387-00006-000 / Probe  Concen  Solids  Analog  0.05-50 / Celerity
 16 470.00  
Ultratech Stepper Alignment Controller Board 0553-626200 Rev. C
Ultratech Stepper Alignment Controller Board 0553-626200 Rev. C
 1 499.99  749.99  
Nikon 4S013-319-B Backplane Interface Board PCB SPAPWT2 NSR-S204B Used Working
Nikon 4S013-319-B Backplane Interface Board PCB SPAPWT2 NSR-S204B Used Working
 1 205.18  843.63  
Digicon Systems Atvr-36v Pcb97100 Board 010053 Wow!!
Digicon Systems Atvr-36v Pcb97100 Board 010053 Wow!!
 99.99  
Edwards U20000347 im Interface Module Used Working
Edwards U20000347 im Interface Module Used Working
 360.12  
Allen Bradley PCB 142535 SEALED NEW
Allen Bradley PCB 142535 SEALED NEW
 1 050.00  
Asm 26-110791a24 Eaton Circuit Breaker-flex Disconnect L
Asm 26-110791a24 Eaton Circuit Breaker-flex Disconnect L
 306.18  
Screen SL-2210-FC Network Control Power Modules SL2220-C Lot of 2 Used Working
Screen SL-2210-FC Network Control Power Modules SL2220-C Lot of 2 Used Working
 405.17  
Tegal 50590-01 Tegal CM1104-00402 Tegal PCB
Tegal 50590-01 Tegal CM1104-00402 Tegal PCB
 499.00  
1336) [used] Bruker Sgu-ftms Aqs
1336) [used] Bruker Sgu-ftms Aqs
 2 400.02  
Applied Materials P/n  0040-81084 Rev.001 Chamber Cover
Applied Materials P/n 0040-81084 Rev.001 Chamber Cover
 1 499.99  
Leica CB3 TERMINAL BOARD
Leica CB3 TERMINAL BOARD
 65.00  
Melec C-781s Servo System Controller
Melec C-781s Servo System Controller
 299.00  
Tegal 99-188-001 Rev A EPE-1 Tegal PCB
Tegal 99-188-001 Rev A EPE-1 Tegal PCB
 499.00  
Panasonic SMT Panadac 936B-040-C / N1P936B040 power supply panasert SPP-V 936
Panasonic SMT Panadac 936B-040-C / N1P936B040 power supply panasert SPP-V 936
 1 498.00  
Talon Mcv-18-1-3-2-s-h Modular   Used
Talon Mcv-18-1-3-2-s-h Modular   Used
 10.00  
AMAT 0150-76401 Cable 300 MM Motion Control Interconnect  Harness  412829
AMAT 0150-76401 Cable 300 MM Motion Control Interconnect  Harness  412829
 200.00  
Nikon 4S007-843-F EX-AIS Board PCB Used Working
Nikon 4S007-843-F EX-AIS Board PCB Used Working
 305.13  
Cosel DC Power Supply P50E-15 Lot of 6 Used Working
Cosel DC Power Supply P50E-15 Lot of 6 Used Working
 308.12  
7310-2887-02 A Ring  Slip-free
7310-2887-02 A Ring  Slip-free
 1 500.00  
DIP EH0111(C)-10C Power Supply PCB EH0111 TEL Tokyo Electron Lithius Working
DIP EH0111(C)-10C Power Supply PCB EH0111 TEL Tokyo Electron Lithius Working
 611.17  
PHD Inc  507687-00 Rotary Actuator  450696
PHD Inc  507687-00 Rotary Actuator  450696
 85.00  
Plastic Courrugated Pipe  ID 4“  OD 41/4 “   110-120” Length For Vacuum Pipe
Plastic Courrugated Pipe ID 4“  OD 41/4 “   110-120” Length For Vacuum Pipe
 75.00  
Varian Implanter Arc Chamber Side Plate (Tungsten) E17036921 - 58853 (55065W)
Varian Implanter Arc Chamber Side Plate (Tungsten) E17036921 - 58853 (55065W)
 180.00  
AMAT 0150-39360 Cable Assembly  Gas Interlock To TPU EDWS In  Cable  413946
AMAT 0150-39360 Cable Assembly  Gas Interlock To TPU EDWS In  Cable  413946
 150.00  
BALDOR W069/0164 D121124 02 Brushless AC Servo Motor Used Working
BALDOR W069/0164 D121124 02 Brushless AC Servo Motor Used Working
 506.18  
Nikon 4S018-870-B Backplane Interface Board PCB ALGMTH-X42 NSR-S307E Used
Nikon 4S018-870-B Backplane Interface Board PCB ALGMTH-X42 NSR-S307E Used
 1 911.18  1 337.83  
Glemco 99784AW Arc Slit Liner Hybrid 080" T15 1797716 Reseller Lot of 7 New
Glemco 99784AW Arc Slit Liner Hybrid 080" T15 1797716 Reseller Lot of 7 New
 306.18  
Hitachi BBS210-2 12-Port PCB M-511E Lot of 2 Used Working
Hitachi BBS210-2 12-Port PCB M-511E Lot of 2 Used Working
 410.13  
Fenwal Sdp-ads K1
Fenwal Sdp-ads K1
 210.00  
Mpd-810h / Power Supply 120w / Magic Power
Mpd-810h / Power Supply 120w / Magic Power
 875.68  
ADVANCED DICING TECHNOLOGIES Motor Driver 07100-2100-000-00  07100-2105-000-00
ADVANCED DICING TECHNOLOGIES Motor Driver 07100-2100-000-00  07100-2105-000-00
 50.00  
Dayton 2E462A SPDT Cooling/Heating Appliance Switch  451660
Dayton 2E462A SPDT Cooling/Heating Appliance Switch  451660
 30.00  
HB7820106 Board
HB7820106 Board
 111.21  77.85  
AMAT 0020-10240  Cover A  Anti-Static  417502
AMAT 0020-10240  Cover A  Anti-Static  417502
 295.00  
TEL Tokyo Electron D124569-S Dark Space Shield Size 12 New Surplus
TEL Tokyo Electron D124569-S Dark Space Shield Size 12 New Surplus
 398.07  
LEP LUDI FLNOF 73000805 Busy Notch Flat Find
Top-Rated Plus Seller LEP LUDI FLNOF 73000805 Busy Notch Flat Find
 499.98  
Module Fgcp-a Mhi 77-603-330930-000 Pre-charging Controller  Used
Module Fgcp-a Mhi 77-603-330930-000 Pre-charging Controller  Used
 300.00  
Hitachi BBPS-11 Connector Board PCB Lot of 2 Used Working
Hitachi BBPS-11 Connector Board PCB Lot of 2 Used Working
 308.13  
Tokyo Electron TEL 2L81-050086-11 TAB358-1/GTIF-R Board Used ^7587
Tokyo Electron TEL 2L81-050086-11 TAB358-1/GTIF-R Board Used ^7587
 359.00  
130-0301// AMAT APPLIED 0100-00008 w PCB TC GAUGE USED
130-0301// AMAT APPLIED 0100-00008 w PCB TC GAUGE USED
 300.00  
Canon PG3-0580-000  8410-AIM-007-1  ESE2-VI  PCB. 412483
Canon PG3-0580-000  8410-AIM-007-1  ESE2-VI  PCB. 412483
 295.00  
Computer / Amat / E19012603
Computer / Amat / E19012603
 5 000.00  
ASML Used 4022.656.10962 SEM-I-811=9G21
ASML Used 4022.656.10962 SEM-I-811=9G21
 899.90  
4ab0085 / Brushless Dc Motor 24v 137 Tr-min / Tecmotion
4ab0085 / Brushless Dc Motor 24v 137 Tr-min / Tecmotion
 951.03  
PN 7100-3397-02 AG Associates Heatpulse PCB
PN 7100-3397-02 AG Associates Heatpulse PCB
 2 800.00  
PN 7100-4023-01 AG Associates Heatpulse PCB
PN 7100-4023-01 AG Associates Heatpulse PCB
 2 800.00  
PN 7100-5146-01 AG Associates Heatpulse PCB
PN 7100-5146-01 AG Associates Heatpulse PCB
 2 200.00  
PN 7100-5146-04 AG Associates Heatpulse PCB
PN 7100-5146-04 AG Associates Heatpulse PCB
 2 200.00  
Fenwal Thermoswitch Temperature Controller 171230
Fenwal Thermoswitch Temperature Controller 171230
 265.00  
LAM Model 810-330658-001 REV C Assy Turbo RF Interlock Pycon
Top-Rated Plus Seller LAM Model 810-330658-001 REV C Assy Turbo RF Interlock Pycon
 900.00  416.95  
SENA 2450DR Mk II Power Supply  Remote  Lamp  Light Guide FLGT300C
SENA 2450DR Mk II Power Supply  Remote  Lamp  Light Guide FLGT300C
 769.96  
Asm 16-169538d01 Platform-cassette 200mm
Asm 16-169538d01 Platform-cassette 200mm
 407.18  
Pneutronics Air Interface Module 4962634A Used Working
Pneutronics Air Interface Module 4962634A Used Working
 405.12  
Bhk-a-v-0 Busch Pump Control
Bhk-a-v-0 Busch Pump Control
 460.00  
Amat 0150-35541 C/a Smif I/f Conf Outlet. 407352
Amat 0150-35541 C/a Smif I/f Conf Outlet. 407352
 250.00  
LUDI EFNF AP LEP FLNOF 73000805 Wired for Macro Inspect Laser 24V
Top-Rated Plus Seller LUDI EFNF AP LEP FLNOF 73000805 Wired for Macro Inspect Laser 24V
 499.98  
Kniel System-Electronic 313-102-04 .04 Power Supply Card PCB 4022.476.01111 Used
Kniel System-Electronic 313-102-04 .04 Power Supply Card PCB 4022.476.01111 Used
 603.18  
Pentagon Technologies 233-3059-56 Internal Shield Anelva PVD System New
Pentagon Technologies 233-3059-56 Internal Shield Anelva PVD System New
 304.10  
2105-220254-12(214) Cap Temp Check  ROHM Device
2105-220254-12(214) Cap Temp Check ROHM Device
 950.00  
Oreintal Motor VEXTA 5 Phase Driver SPD5517 Used Japan^95927
Oreintal Motor VEXTA 5 Phase Driver SPD5517 Used Japan^95927
 189.00  
Hitachi High Technologies 1-822328 Lower Coil ZPS90 New Surplus
Hitachi High Technologies 1-822328 Lower Coil ZPS90 New Surplus
 2 012.08  
Parker 33-18304-002-5-e 5in Filter 0.2 Micron
Parker 33-18304-002-5-e 5in Filter 0.2 Micron
 75.00  
Amat 0040-77174 Cover platen Belt  Used
Amat 0040-77174 Cover platen Belt  Used
 250.00  
Oak Pcb 3160531
Oak Pcb 3160531
 300.00  
Kokusai Electric D2E01522 Interface Panel Backplane PCB INT-CNBA Used Working
Kokusai Electric D2E01522 Interface Panel Backplane PCB INT-CNBA Used Working
 502.19  
SMCB 4022 192 71633 402219271633 13-01-99-002 Circuit Board
SMCB 4022 192 71633 402219271633 13-01-99-002 Circuit Board
 799.00  559.30  
PN TGL-39-735-003 Low Electrode Chuck 5 Inch Tegal Spare Parts
PN TGL-39-735-003 Low Electrode Chuck 5 Inch Tegal Spare Parts
 2 500.00  
Pall AB04F0023EH15 Filter 0.2 UM Super-Cheminert (New Surplus)
Pall AB04F0023EH15 Filter 0.2 UM Super-Cheminert (New Surplus)
 135.00  94.50  
Robot Effector Robot ARM B&C 56-010559 B AWR-BB-4-002
Robot Effector Robot ARM B&C 56-010559 B AWR-BB-4-002
 495.00  
ST 001 Printer Paper P/N#2920-000008
ST 001 Printer Paper P/N#2920-000008
 25.00  
SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003 Horizontal Guide Used
SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003 Horizontal Guide Used
 704.16  
324-0201// Amat Applied 0021-89432 Bracket  Air Seal Water Line New
324-0201// Amat Applied 0021-89432 Bracket  Air Seal Water Line New
 110.00  
Binder M12 4 Position Straight Female Connector & Cable Assembly 2148806 917128
Binder M12 4 Position Straight Female Connector & Cable Assembly 2148806 917128
 19.99  
AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
 2 800.00  
Oydk-60-095b-064-065 / Assembly  Pcbs Ext Chem#02  / Tokyo Electron Tel
Oydk-60-095b-064-065 / Assembly  Pcbs Ext Chem#02  / Tokyo Electron Tel
 4 526.66  
Tosoh 06225-000/003412 A-S Wafer Shield New
Tosoh 06225-000/003412 A-S Wafer Shield New
 300.08  
Fujikin 316L Diaphragm Valve  APWYM000  454751  1/4" VCR  452086
Fujikin 316L Diaphragm Valve  APWYM000  454751  1/4" VCR  452086
 195.00  
Fujikin 316L Diaphragm Valve AQ8MB000 429504 1/4" VCR  KR5HY000 100499  452083
Fujikin 316L Diaphragm Valve AQ8MB000 429504 1/4" VCR  KR5HY000 100499  452083
 195.00  
Migatron P/n 5103210 New Ultrasonic Sensor 5103210
Migatron P/n 5103210 New Ultrasonic Sensor 5103210
 75.00  
Nikon 4S007-404 B TTL-FC pcb assembly
Nikon 4S007-404 B TTL-FC pcb assembly
 2 149.99  
Tel Tokyo Electron Tellus Trench Lower Insulator 3d10-202405-v1
Tel Tokyo Electron Tellus Trench Lower Insulator 3d10-202405-v1
 1 200.00  
Solitec Single Bowl Resist Spinner # 111
Solitec Single Bowl Resist Spinner # 111
 450.00  
Lot of Probe Technology Probe BA10W1.5F8  100 Sealed<
Lot of Probe Technology Probe BA10W1.5F8  100 Sealed<
 49.99  
322-0403// Amat Applied 0020-10135 Manifold Water Pl [used]
322-0403// Amat Applied 0020-10135 Manifold Water Pl [used]
 150.00  
AMAT 0020-01039 Quartz Plate Support  Farmon ID 412533
AMAT 0020-01039 Quartz Plate Support  Farmon ID 412533
 220.00  
TOKKYOKIKI CORPORATION PNEUMATIC ACTIVE DAMPER α2-200 Set of 4 & Controller
TOKKYOKIKI CORPORATION PNEUMATIC ACTIVE DAMPER α2-200 Set of 4 & Controller
 1 000.00  
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423867
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423867
 195.00  
AMAT 0020-22237 Cover Ring  8" 424119
AMAT 0020-22237 Cover Ring  8" 424119
 895.00  
ABB QSB23175L  Circuit Breaker 240V  175 AMP 3 Phase  24380-00  423531
ABB QSB23175L  Circuit Breaker 240V  175 AMP 3 Phase  24380-00  423531
 350.00  
Setra 26710R1WD2DD9CD Pressure Transducer 0-0.1" (4232)
Top-Rated Plus Seller Setra 26710R1WD2DD9CD Pressure Transducer 0-0.1" (4232)
 89.00  
99-125-008 Rev C Fpi-8tegal Pcb 98-125-008 Rev B
99-125-008 Rev C Fpi-8tegal Pcb 98-125-008 Rev B
 499.00  
Omron S8PS-05005C Power Supply Reseller Lot of 11 Used Working
Omron S8PS-05005C Power Supply Reseller Lot of 11 Used Working
 557.16  
Fabco-Air The Pancake Line FPS-1409-4 Air Cylinder
Fabco-Air The Pancake Line FPS-1409-4 Air Cylinder
 79.99  55.99  
New Microset/electronic Card/pcb Us-256
New Microset/electronic Card/pcb Us-256
 245.00  
FLOWLINK Vacuum Pressure Gauge  992246
FLOWLINK Vacuum Pressure Gauge  992246
 375.00  
ESI 2300 Galvo Board Upgrade kit   P/N 115727 Rev E. -Free Shipment
ESI 2300 Galvo Board Upgrade kit  P/N 115727 Rev E. -Free Shipment
 650.00  
Tegal  93-128-004 Rev E Cable RF
Tegal 93-128-004 Rev E Cable RF
 258.00  
2506491-21 / 2506-491-21 / 2506-491-01rev A PCB Interconnection Gas Mat810-03421
2506491-21 / 2506-491-21 / 2506-491-01rev A PCB Interconnection Gas Mat810-03421
 499.00  
AMAT 0021-38456 Cover  Lining  420180
AMAT 0021-38456 Cover  Lining  420180
 550.00  
39-562-001 Tegal Spare Part
39-562-001 Tegal Spare Part
 175.00  
1E-011 Tegal Spare Part  Bellow
1E-011 Tegal Spare Part  Bellow
 175.00  
7500-0111-01 For AG Associates Heatpulse 4100 4108 8108   8800 ?
7500-0111-01 For AG Associates Heatpulse 4100 4108 8108   8800 ?
 175.00  
7600-0204-01 For AG Associates Heatpulse 4100 4108 8108   8800 ?
7600-0204-01 For AG Associates Heatpulse 4100 4108 8108   8800 ?
 225.00  
Applied Materials Belfab Bellows Z0707-0182-4
Applied Materials Belfab Bellows Z0707-0182-4
 249.99  
Applied Materials AKT1600 Robot 0010-66412
Applied Materials AKT1600 Robot 0010-66412
 6 000.00  
Filter Concepts 2065 3X100A 250 VAC 50/60 HZ
Filter Concepts 2065 3X100A 250 VAC 50/60 HZ
 1 800.00  
Lam  716-011963-003 New  Oem
Lam 716-011963-003 New Oem
 550.00  
169020-001 / Interloclk Relay Alarm  Aspoly / Thermco
169020-001 / Interloclk Relay Alarm  Aspoly / Thermco
 520.82  
AMAT 0150-40171 Cable Assembly  Facility Gas Backup 413965
AMAT 0150-40171 Cable Assembly  Facility Gas Backup 413965
 150.00  
ASML Used 4022.656.65284 SEM-I-734=9G21
ASML Used 4022.656.65284 SEM-I-734=9G21
 999.90  
Omron E2K-C25ME1 Proximity Sensor - P01-000089
Omron E2K-C25ME1 Proximity Sensor - P01-000089
 175.00  
Andover Corporation 400fs10-50   380fs10-50
Andover Corporation 400fs10-50   380fs10-50
 248.00  
Amat 0020-78001 Cover  Long  Upper  Used
Amat 0020-78001 Cover  Long  Upper  Used
 1 300.00  
ASM 02-196093-01 Thermal Fuse Cable 192C Reseller Lot of 30 New Surplus
ASM 02-196093-01 Thermal Fuse Cable 192C Reseller Lot of 30 New Surplus
 453.19  
1/4-20 X 1 Flat Head Socket Head Cap Screws Bolts 22 Count Lot Stainless Steel
1/4-20 X 1 Flat Head Socket Head Cap Screws Bolts 22 Count Lot Stainless Steel
 18.99  
Cleanpart Set Upper Shield 0020-26312-06-.24
Cleanpart Set Upper Shield 0020-26312-06-.24
 399.00  
Furon Pd075-128fl Fluid Handling Product
Furon Pd075-128fl Fluid Handling Product
 1 000.00  
Canon BF6-1366-000-000A  Motor Unit  Supply Hand 412554
Canon BF6-1366-000-000A  Motor Unit  Supply Hand 412554
 450.00  
TEL CP-8280 PCB  Circuit 413041
TEL CP-8280 PCB  Circuit 413041
 350.00  
Yaskawa Electric JANCD-NBB30-E Backplane PCB F352773-1 NXC100 Working Spare
Yaskawa Electric JANCD-NBB30-E Backplane PCB F352773-1 NXC100 Working Spare
 554.18  
Tegal 903e Tegal 901e Nest 6 Inch
Tegal 903e Tegal 901e Nest 6 Inch
 650.00  
Smc High Vacuum Valve  Xlc-40
Smc High Vacuum Valve  Xlc-40
 250.00  
Advanced Energy 3006-000-B / 0090-90279 High Voltage Unit Focus
Advanced Energy 3006-000-B / 0090-90279 High Voltage Unit Focus
 1 500.00  
Pentagon Technologies 233-5053-02 Internal Shield Anelva PVD System New
Pentagon Technologies 233-5053-02 Internal Shield Anelva PVD System New
 304.10  
M.E.C. Technology Semiconductor MEC384T-6000 Clamp Ring 150mm New
Top-Rated Plus Seller M.E.C. Technology Semiconductor MEC384T-6000 Clamp Ring 150mm New
 195.98  
Pentagon Technologies 233-5050-23 Chuck Ring Anelva PVD New
Pentagon Technologies 233-5050-23 Chuck Ring Anelva PVD New
 307.15  
AMAT 0100-00048 WPWB I/O Status Monitor  417803
AMAT 0100-00048 WPWB I/O Status Monitor  417803
 395.00  
PN TGL-39-735-008 Low Electrode Chuck Tegal Spare Parts
PN TGL-39-735-008 Low Electrode Chuck Tegal Spare Parts
 2 500.00  
Lot of 6 Used  Parker Veriflo SQ340-50W-2P-FS-FF-PM 43800798 131659 Regulator
Lot of 6 Used Parker Veriflo SQ340-50W-2P-FS-FF-PM 43800798 131659 Regulator
 441.55  397.39  
Brooks D-07745  Cable  406298
Brooks D-07745  Cable  406298
 275.00  
AG Associates Quartz Tray 7400-0109-06F 5 Inch
AG Associates Quartz Tray 7400-0109-06F 5 Inch
 2 800.00  
E17007382 /  16in Exit / Varian
E17007382 / 16in Exit / Varian
 450.68  
Agilent Z4207-60005 PCB Z4207 NC2 Used Working
Agilent Z4207-60005 PCB Z4207 NC2 Used Working
 609.16  
Thermco Tmx 9000 Secs Communications Board 122610-001
Thermco Tmx 9000 Secs Communications Board 122610-001
 599.99  
2105-320027-12 Rev 0 Rev 12-214Quartz Shutter ROHM Device
2105-320027-12 Rev 0 Rev 12-214Quartz Shutter ROHM Device
 950.00  
ASML 4022.630.36841 LASER TUBE New  missing original box
ASML 4022.630.36841 LASER TUBE New  missing original box
 75.00  
Applied Materials AMAT NOVA  Set of 4  320-59030-00  0690-00481
Applied Materials AMAT NOVA  Set of 4  320-59030-00  0690-00481
 85.00  
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451734
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve  45100583  451734
 195.00  
2105491-A Case  Enclosure  450531
2105491-A Case  Enclosure  450531
 75.00  
BG4340-510273 Motor  BG4340-510273 / 220~240V / 220W / 50~60Hz / Class H / 55343
BG4340-510273 Motor  BG4340-510273 / 220~240V / 220W / 50~60Hz / Class H / 55343
 150.00  
ASM Advanced Semiconductor Materials 2911779-21 PCB Board ESIGMA WK0705 Used
ASM Advanced Semiconductor Materials 2911779-21 PCB Board ESIGMA WK0705 Used
 603.14  
2013656-001 / Chamber Body Aviza Ald / Aviza Technology
2013656-001 / Chamber Body Aviza Ald / Aviza Technology
 12 502.03  
AMAT 0140-02983 Harness Assemblly 6 Driver DNET Distribution  415239
AMAT 0140-02983 Harness Assemblly 6 Driver DNET Distribution  415239
 350.00  
Applied Materials 0690-01792 CLAMP FLG ISO-KF25 STAINLESS STEEL
Applied Materials 0690-01792 CLAMP FLG ISO-KF25 STAINLESS STEEL
 150.00  
Cord K703181
Cord K703181
 95.00  
Nikon 4S019-856 PCB STGX6B
Nikon 4S019-856 PCB STGX6B
 480.00  
AMAT Applied Materials 0020-47722 Upper Shield TWAS Manufacturer Refurbished
AMAT Applied Materials 0020-47722 Upper Shield TWAS Manufacturer Refurbished
 806.18  
Lam Reasearch Valve With Flow Switch 796-003146-003
Lam Reasearch Valve With Flow Switch 796-003146-003
 159.00  
NRD INC 1C200 StaticMaster Ionizing Cartridges
NRD INC 1C200 StaticMaster Ionizing Cartridges
 118.34  
Cybeq Systems Automation Wafer Robot with Original Boxing
Cybeq Systems Automation Wafer Robot with Original Boxing
 1 200.00  
Omega MFC mass flow controller power supply digital rea
Omega MFC mass flow controller power supply digital rea
 495.00  
Nikon 4S008-056 AIS Sensor Board PCB AISsns-X4 NSR-S205C Step-and-Repeat Used
Nikon 4S008-056 AIS Sensor Board PCB AISsns-X4 NSR-S205C Step-and-Repeat Used
 912.18  
High Output Airblast Cleaning System
High Output Airblast Cleaning System
 1 300.00  
Fc-2902mep-t (n2) (5000 Sccm) / Mfc 5000 Sccm  Gas N2 / Millipore
Fc-2902mep-t (n2) (5000 Sccm) / Mfc 5000 Sccm  Gas N2 / Millipore
 325.82  
AMAT Applied Materials 0100-71267 8 Channel PCM Card PCB AKT Used Working
AMAT Applied Materials 0100-71267 8 Channel PCM Card PCB AKT Used Working
 712.18  
Sonoscan Calibration Block  Z=3.33   no.2610
Sonoscan Calibration Block Z=3.33 no.2610
 99.00  
PN: 7310-4781-01A For AG Associates Heatpulse 8108  8800  4108 RTP
PN: 7310-4781-01A For AG Associates Heatpulse 8108  8800  4108 RTP
 2 800.00  
ASM 33-40157 Vacuum Filter element UnUsed 6308
ASM 33-40157 Vacuum Filter element UnUsed 6308
 45.90  
TEL Tokyo Electron 1264-G-5069-C-01 Triax New
TEL Tokyo Electron 1264-G-5069-C-01 Triax New
 359.13  
Hitachi High Technologies 1-A04827-01 Head Piece New Surplus
Hitachi High Technologies 1-A04827-01 Head Piece New Surplus
 906.15  
832-2687a Aluminium Electrode A For Py150 407079
832-2687a Aluminium Electrode A For Py150 407079
 175.00  
Amat 3310-00114 Gauge Press 0-100 Psi 1.5 D 1/8 Npt Ctr-back Pnl U-clamp
Amat 3310-00114 Gauge Press 0-100 Psi 1.5 D 1/8 Npt Ctr-back Pnl U-clamp
 100.00  
Setra 225130CPCC411B1 Pressure Transducer New
Setra 225130CPCC411B1 Pressure Transducer New
 301.12  
Setra 225110CPCC411B1 Pressure Transducer New
Setra 225110CPCC411B1 Pressure Transducer New
 301.12  
Airproducts 809-451247704A SST Gas Tube ID-AWS-021
Airproducts 809-451247704A SST Gas Tube ID-AWS-021
 125.00  
TEL Tokyo Electron 2981-600669-11 Interface Board PCB CONN CT NDP DRV #01 Used
TEL Tokyo Electron 2981-600669-11 Interface Board PCB CONN CT NDP DRV #01 Used
 503.18  
Tokyo Electron 3881-000019-11 Pcb  Lan.. Ttls06-11 Mmi-conv-f Pcb  Used
Tokyo Electron 3881-000019-11 Pcb  Lan.. Ttls06-11 Mmi-conv-f Pcb  Used
 200.00  
442-0401// Oriental Motor Asm66ac Motor [used]
442-0401// Oriental Motor Asm66ac Motor [used]
 100.00  
Cavro 725643 Motorized Syringe Pump  451683
Cavro 725643 Motorized Syringe Pump  451683
 150.00  
Cavro 725643 Motorized Syringe Pump  451686
Cavro 725643 Motorized Syringe Pump  451686
 150.00  
Amat 0020-77214 Mount  Motor  Used
Amat 0020-77214 Mount  Motor  Used
 400.00  
LUDI LEP FLNOF 73000805 Wired for Macro Inspect laser 24V
Top-Rated Plus Seller LUDI LEP FLNOF 73000805 Wired for Macro Inspect laser 24V
 499.98  
LEP LUDI FLNOF 73000805 Wired for Macro Inspect Laser 24V
Top-Rated Plus Seller LEP LUDI FLNOF 73000805 Wired for Macro Inspect Laser 24V
 499.98  
Nikon 4S019-144 Backplane Interface Board PCB OPDMTHX4B1 NSR System Used Working
Nikon 4S019-144 Backplane Interface Board PCB OPDMTHX4B1 NSR System Used Working
 1 211.18  847.83  
Axcelis 17101220  GSD  AMU  Center Pole Clamp  409082
Axcelis 17101220  GSD  AMU  Center Pole Clamp  409082
 150.00  
Applied Materials AMAT H2 Flow Switch Assy  0090-01356
Applied Materials AMAT H2 Flow Switch Assy  0090-01356
 1 985.00  
2164   Eaton P/N: 0342-0934-3001 Corona Shield
2164 Eaton P/N: 0342-0934-3001 Corona Shield
 80.00  
Nor-cal Products Valve 93-00383
Nor-cal Products Valve 93-00383
 219.00  
Devilbiss EPS-4301 Circuit Board - Reconditioned
Devilbiss EPS-4301 Circuit Board - Reconditioned
 75.27  
7650-0159-06 tube  purge exh
7650-0159-06 tube  purge exh
 1 600.00  
Veeco TG-270 TC Gauge Control
Veeco TG-270 TC Gauge Control
 25.00  
Entegris 2359-003 30 Foot Cable 12 Conductor Cable 12D30 New Surplus
Entegris 2359-003 30 Foot Cable 12 Conductor Cable 12D30 New Surplus
 254.18  
AMAT Applied Materials 9094-00923ITL Processor Vacuum Control Chassis As-Is
AMAT Applied Materials 9094-00923ITL Processor Vacuum Control Chassis As-Is
 507.13  
Allen-Bradley 140-MN-0250 Manual Motor Starter  Circuit Breaker  452718
Allen-Bradley 140-MN-0250 Manual Motor Starter  Circuit Breaker  452718
 15.00  
03-006311d01 / Pcb Assy Gas Control Logic / Asm America Inc
03-006311d01 / Pcb Assy Gas Control Logic / Asm America Inc
 1 840.82  
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423869
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423869
 195.00  
AMAT 0020-22237 Cover Ring  8" 424120
AMAT 0020-22237 Cover Ring  8" 424120
 895.00  
Cleanpart Set Lower Shield 0020-26289-6.05.2
Cleanpart Set Lower Shield 0020-26289-6.05.2
 399.00  
Tokyo Keiso SFC-780/A Flowmeter DC24v
Tokyo Keiso SFC-780/A Flowmeter DC24v
 75.00  
346-0101// Amat Applied 0020-34048 Support  Bellows 2nd Source New
346-0101// Amat Applied 0020-34048 Support  Bellows 2nd Source New
 1 000.00  
New Cmp Conditioner E-3425-03100039-n15a
New Cmp Conditioner E-3425-03100039-n15a
 151.00  
Applied Materials 0041-04138 Plate  Lid  Clear  Sswll A  Pr Amat *unused*
Applied Materials 0041-04138 Plate  Lid  Clear  Sswll A  Pr Amat *unused*
 699.00  
103991-001 / Pcb Bd  Cca  Hpb-3 Backplane / Micro Control Company
103991-001 / Pcb Bd  Cca  Hpb-3 Backplane / Micro Control Company
 850.68  
AMAT 0140-38438 Harness Assy  DTRL Spill Interlock  GPLI  Cable  413861
AMAT 0140-38438 Harness Assy  DTRL Spill Interlock  GPLI  Cable  413861
 250.00  
102471-001 / Hps Control Board  Cca 102470-001  Fco 272 / Micro Control Company
102471-001 / Hps Control Board  Cca 102470-001  Fco 272 / Micro Control Company
 1 480.82  
TEL Tokyo Electron 3210-201270-11 (TS) Card Holder R (S) Used Working
TEL Tokyo Electron 3210-201270-11 (TS) Card Holder R (S) Used Working
 1 511.18  755.59  
Mks As00922-03 Rmu
Top-Rated Plus Seller Mks As00922-03 Rmu
 299.99  
MKS AS00921-02 RMU Module
Top-Rated Plus Seller MKS AS00921-02 RMU Module
 299.99  
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F Used
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F Used
 604.17  
128-0903// Amat Applied 3700-02926 (2ea) Oring Id 14.475 Csd .275 Vit 70duro New
128-0903// Amat Applied 3700-02926 (2ea) Oring Id 14.475 Csd .275 Vit 70duro New
 80.00  
TEM-Tech Lab NPS6200T050 Pressure Switch 0~100PSIG Used 94767
TEM-Tech Lab NPS6200T050 Pressure Switch 0~100PSIG Used 94767
 79.90  
GE Healthcare PWR SPLY 24VDC 12AMP 100-02-317
Top-Rated Plus Seller GE Healthcare PWR SPLY 24VDC 12AMP 100-02-317
 1 436.32  
323-0201// Amat Applied 3700-03098 Use 3700-02571 Seal Ctr Ring A New
323-0201// Amat Applied 3700-03098 Use 3700-02571 Seal Ctr Ring A New
 380.00  
Nikon 4S018-715-A Backplane Interface Board PCB OPDMTHX4 NSR-S204B Used Working
Nikon 4S018-715-A Backplane Interface Board PCB OPDMTHX4 NSR-S204B Used Working
 911.18  
Novellus 73-0068 GSNX New Elevator Alignment Tool Left Side Pin GaSonics Used
Novellus 73-0068 GSNX New Elevator Alignment Tool Left Side Pin GaSonics Used
 1 807.15  
622812tbe / Asm 65  Transducer  622812tbe / Mks
622812tbe / Asm 65  Transducer  622812tbe / Mks
 745.68  
AMAT 0020-40608  Insulator Cover. 418814
AMAT 0020-40608  Insulator Cover. 418814
 400.00  
Fuji Electric Sc03 Used
Fuji Electric Sc03 Used
 13.90  
Proteus Industries 98004SN06P1 Flow Meter 10VDC=2.2LPM
Top-Rated Plus Seller Proteus Industries 98004SN06P1 Flow Meter 10VDC=2.2LPM
 104.99  
AMAT 0150-21926  Cable  Harness  Control Box to GR2 Signals. 413906
AMAT 0150-21926  Cable  Harness  Control Box to GR2 Signals. 413906
 300.00  
Applied Materials 0040-96822 Bearing Block Amat *unused Surplus In Box - Sealed*
Applied Materials 0040-96822 Bearing Block Amat *unused Surplus In Box - Sealed*
 209.00  
New Microset/electronic Card/pcb Us-224
New Microset/electronic Card/pcb Us-224
 125.00  
New Microset/electronic Card/pcb Us-252
New Microset/electronic Card/pcb Us-252
 175.00  
New Microset/electronic Card/pcb Us-206
New Microset/electronic Card/pcb Us-206
 175.00  
New Microset/electronic Card/pcb Us-220
New Microset/electronic Card/pcb Us-220
 145.00  
New Microset/electronic Card/pcb Us-105
New Microset/electronic Card/pcb Us-105
 225.00  
New Microset/electronic Card/pcb Us-265
New Microset/electronic Card/pcb Us-265
 215.00  
New Microset/electronic Card/pcb Us-103
New Microset/electronic Card/pcb Us-103
 125.00  
New Microset/electronic Card/pcb Us-101
New Microset/electronic Card/pcb Us-101
 195.00  
New Microset/electronic Card/pcb Us-102
New Microset/electronic Card/pcb Us-102
 125.00  
New Microset/electronic Card/pcb Us-528
New Microset/electronic Card/pcb Us-528
 195.00  
New Microset/electronic Card/pcb Us-253
New Microset/electronic Card/pcb Us-253
 155.00  
New Microset/electronic Card/pcb Us-209
New Microset/electronic Card/pcb Us-209
 165.00  
412-0401// Todd Products Co. Max-754-1205a Power Supply [used]
412-0401// Todd Products Co. Max-754-1205a Power Supply [used]
 2 000.00  
Pb32hq With Optp-22 With 8 Oac5q
Pb32hq With Optp-22 With 8 Oac5q
 99.67  
Cavro 725643 Motorized Syringe Pump  451682
Cavro 725643 Motorized Syringe Pump  451682
 150.00  
Nikon 4S020-022 Processor PCB Card CNTRL-1 NSR System Used Working
Nikon 4S020-022 Processor PCB Card CNTRL-1 NSR System Used Working
 3 001.19  1 500.59  
Tegal 80-095-223 Spare Parts
Tegal 80-095-223 Spare Parts
 175.00  
Tegal 81-007-138 Bellow Spare Parts
Tegal 81-007-138 Bellow Spare Parts
 175.00  
Varian  1094341 +opto Insolator Assy ID-AWS-011
Varian 1094341 +opto Insolator Assy ID-AWS-011
 150.00  
Lisk S-1997 Id-aws-011
Lisk S-1997 Id-aws-011
 150.00  
10 Inch Shield Panel  ID-AWS-011
10 Inch Shield Panel ID-AWS-011
 150.00  
j50-24 V.ADJ  ID-AWM-D-2-3
j50-24 V.ADJ ID-AWM-D-2-3
 150.00  
DCA Simpson Electric ID -AWM-F-1-028
DCA Simpson Electric ID -AWM-F-1-028
 155.00  
Tektronix S9-0550-01 Handler Interface Circuit Board 671-1314-01 (used working)
Tektronix S9-0550-01 Handler Interface Circuit Board 671-1314-01 (used working)
 500.00  350.00  
Yaskawa Memocon-sc Servo Controller Jamsc-b1067b Uspp B1067b 24v Dc 407306
Yaskawa Memocon-sc Servo Controller Jamsc-b1067b Uspp B1067b 24v Dc 407306
 450.00  
2162  Eaton 0295-0006-2001 Aperture Chamber Entrance
2162 Eaton 0295-0006-2001 Aperture Chamber Entrance
 70.00  
96041 (lot Of 2) / Side 8 Inch Chuck Enclosure / Fusion Systems Corporation
96041 (lot Of 2) / Side 8 Inch Chuck Enclosure / Fusion Systems Corporation
 3 550.68  
AMAT 0140-01156  Cable  Harness Pump Breaker to Blukhead 30. 414119
AMAT 0140-01156  Cable  Harness Pump Breaker to Blukhead 30. 414119
 150.00  
ULVAC G-TRAN BPR2 Pirani Gauge Box Unit  Working
ULVAC G-TRAN BPR2 Pirani Gauge Box Unit  Working
 500.00  
New PARKER UHP HF355-65 SIZE 2-226 O-ring 2" Diameter 1/8" width
New PARKER UHP HF355-65 SIZE 2-226 O-ring 2" Diameter 1/8" width
 15.00  
AMAT 0150-90651 Cable Assy "9F.03/9E.P5 7K.C1"  411451
AMAT 0150-90651 Cable Assy "9F.03/9E.P5 7K.C1"  411451
 300.00  
Leybold TCU-1000 Temperature Controller  934.00.998 Temp Regulator  416911
Leybold TCU-1000 Temperature Controller  934.00.998 Temp Regulator  416911
 450.00  
AMAT Cover  Insulator  Source  451959
AMAT Cover  Insulator  Source  451959
 850.00  
Canon BH8-2264-01 PCB BOARD
Canon BH8-2264-01 PCB BOARD
 799.00  
IDI Interconnect Devices Advantest 200 Mil Spring Probe & Coax XF209A004 7 Count
IDI Interconnect Devices Advantest 200 Mil Spring Probe & Coax XF209A004 7 Count
 199.99  
^^ Spellman X3695 Model Ptv40p200x3695 High Voltage Power Supply - New
Top-Rated Plus Seller ^^ Spellman X3695 Model Ptv40p200x3695 High Voltage Power Supply - New
 1 000.00  750.00  
Edwards Vacuum - TPU Noz Clean S/R Actuator Kit - Y04210005 (UK) ATLAS TPU
Edwards Vacuum - TPU Noz Clean S/R Actuator Kit - Y04210005 (UK) ATLAS TPU
 188.72  
Checksum Remote Control Pendant Pass Fail For System
Checksum Remote Control Pendant Pass Fail For System
 49.99  
Reis Robotics 2364687 Board Nsmp
Reis Robotics 2364687 Board Nsmp
 115.00  
Balzers BG 531 189 T Integrate Circuit AD 202 PCB Board BG 531 187 CT Used
Balzers BG 531 189 T Integrate Circuit AD 202 PCB Board BG 531 187 CT Used
 458.16  
Varian 111003001 Insert Assembly  Beamgate  111003-1  419060
Varian 111003001 Insert Assembly  Beamgate  111003-1  419060
 350.00  
352-0403// AMAT APPLIED 0020-97548 SCREW VAC M4x8 NEW
352-0403// AMAT APPLIED 0020-97548 SCREW VAC M4x8 NEW
 10.00  
Vie Daq-r16i160-l3 Pcb  451692
Vie Daq-r16i160-l3 Pcb  451692
 95.00  
Swiss Clamps  EVAC  451697
Swiss Clamps  EVAC  451697
 50.00  
Gespac MFI-1 GESMFI-1 BOARD  WORKING
Gespac MFI-1 GESMFI-1 BOARD  WORKING
 300.00  
Micrion 150-1630 rev A2 150-001630
Micrion 150-1630 rev A2 150-001630
 369.00  
351-0403// Amat Applied 3870-01035 Valve Poppet 3 Way Nc 0-30psi [used]
351-0403// Amat Applied 3870-01035 Valve Poppet 3 Way Nc 0-30psi [used]
 50.00  
Amat 0050-10863 Plumbing Weldment Adapter Single He In   Used
Amat 0050-10863 Plumbing Weldment Adapter Single He In   Used
 249.00  
Nikon 4S019-144 Backplane Interface Board OPDMTHX4B1 NSR-S307E Used Working
Nikon 4S019-144 Backplane Interface Board OPDMTHX4B1 NSR-S307E Used Working
 1 206.18  844.33  
Part 81175 Clamp Bracket (Pack of 6)
Part 81175 Clamp Bracket (Pack of 6)
 104.08  
Pcb  Z80 Pio    P/n 3302268501
Pcb  Z80 Pio  P/n 3302268501
 259.00  
Aptech Sl5510shx-2pw-mv4-fv4 Regulator
Aptech Sl5510shx-2pw-mv4-fv4 Regulator
 300.00  
Meiden UF903 Keyboard/Mouse Extension Units Used Working
Meiden UF903 Keyboard/Mouse Extension Units Used Working
 502.10  
116-0501// Amat Applied 0020-22975 Insulator Tin 8" Wafer New
116-0501// Amat Applied 0020-22975 Insulator Tin 8" Wafer New
 1 200.00  
Ontec Sn-4302-si01 I/o Terminal   Used
Ontec Sn-4302-si01 I/o Terminal   Used
 250.00  
Celerity Unit UFC-7360 Mass Flow Controller MFC CardEdge (NH3/5L) 1/4" VCR
Celerity Unit UFC-7360 Mass Flow Controller MFC CardEdge (NH3/5L) 1/4" VCR
 90.00  
Edwards Full System Inspection Kit A55401028
Edwards Full System Inspection Kit A55401028
 230.00  
Hitachi ZVL808-0 PCP  USED
Hitachi ZVL808-0 PCP  USED
 350.00  
Oriental Motor C8741-9212km Dc4.5v 2a Vexta Stepping Motor
Oriental Motor C8741-9212km Dc4.5v 2a Vexta Stepping Motor
 110.00  
Smc 10-vq1201y-5
Smc 10-vq1201y-5
 199.99  
Nikon 4S013-223 Backplane Interface Board PCB LIUPWBPB NSR-S307E DUV Used
Nikon 4S013-223 Backplane Interface Board PCB LIUPWBPB NSR-S307E DUV Used
 1 211.18  847.83  
MRC Materials Research D126409-200SP 200mm Pod Shield New
MRC Materials Research D126409-200SP 200mm Pod Shield New
 180.08  
NTE Electronics 504-0034 KNOB MACHINED ALUM W/POS LINE .500" DIA .125" SHAFT DIA
NTE Electronics 504-0034 KNOB MACHINED ALUM W/POS LINE .500" DIA .125" SHAFT DIA
 10.39  
AMAT 0150-02189 Cable Assembly  Dnet Adapter Network Power CF4-03-07 410733
AMAT 0150-02189 Cable Assembly  Dnet Adapter Network Power CF4-03-07 410733
 150.00  
Applied Materials AMAT  TURCK Connector  RSFRKF57/22  0720-04374
Applied Materials AMAT TURCK Connector  RSFRKF57/22  0720-04374
 55.00  
[0100-071593 Rev. B] - Applied Materials | Diode Loading Board
[0100-071593 Rev. B] - Applied Materials | Diode Loading Board
 80.00  
10 Novellus 22-10365-00 Gasket  Foldover  Ground  453037
10 Novellus 22-10365-00 Gasket  Foldover  Ground  453037
 100.00  
Loctite Uvaloc Type 97034 Glue Control
Loctite Uvaloc Type 97034 Glue Control
 1 200.00  
ADCS Model 969 Low Level Monitor Reseller Lot of 8 Used Working
ADCS Model 969 Low Level Monitor Reseller Lot of 8 Used Working
 801.19  
Applied Materials 0040-46381 Std Centura Chamber 0010-26655 300mm
Applied Materials 0040-46381 Std Centura Chamber 0010-26655 300mm
 2 100.00  
Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR System Used
Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR System Used
 1 307.18  915.03  
Oriental Motor C8741-9212km Dc4.5v 2a Vexta Stepping Motor & Driver
Oriental Motor C8741-9212km Dc4.5v 2a Vexta Stepping Motor & Driver
 100.00  
Phillips 4002.471.7312 Circuit Board PCB 2067480028 Used Working
Phillips 4002.471.7312 Circuit Board PCB 2067480028 Used Working
 1 406.12  
Hitachi 1-a00893-90 Grounded Electrode Single Pc
Hitachi 1-a00893-90 Grounded Electrode Single Pc
 2 000.00  
GAL20V8A Surplus spares parts in stock
GAL20V8A Surplus spares parts in stock
 25.00  
AMAT 0020-22237 Cover Ring  8" 424124
AMAT 0020-22237 Cover Ring  8" 424124
 895.00  
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423861
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423861
 195.00  
74HC4040 12 Stage Counter Surplus spares parts in stock
74HC4040 12 Stage Counter Surplus spares parts in stock
 25.00  
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. B Used
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. B Used
 1 508.18  
K&s8 Inspection Stage 200mm Jk1159  Mojkng01--az
K&s8 Inspection Stage 200mm Jk1159  Mojkng01--az
 250.00  
Nikon 4S018-163 Control Board PCB SHRINC-CTRL NSR-S204B Step-and-Repeat Used
Nikon 4S018-163 Control Board PCB SHRINC-CTRL NSR-S204B Step-and-Repeat Used
 3 508.17  1 754.08  
Amat 0150-77202 Cable  Platen Encoder  Cntrlr Adapt 75ft  Used
Amat 0150-77202 Cable  Platen Encoder  Cntrlr Adapt 75ft  Used
 700.00  
Tegal 99-206-001 Rev C GCP-1 Tegal PCB
Tegal 99-206-001 Rev C GCP-1 Tegal PCB
 499.00  
VINTAGE Bruker B-CN5/50 B303III Board
VINTAGE Bruker B-CN5/50 B303III Board
 199.99  
VINTAGE Bruker Quarz Board 0-060 P061 d for SpectroSpin 250 NMR
Top-Rated Plus Seller VINTAGE Bruker Quarz Board 0-060 P061 d for SpectroSpin 250 NMR
 199.99  
102615-001 / Abes Iii Logic Backplane / Micro Control Company
102615-001 / Abes Iii Logic Backplane / Micro Control Company
 1 500.68  
Boc Edwards Pneumatic Pfc Interface Kit A55420007
Boc Edwards Pneumatic Pfc Interface Kit A55420007
 351.52  
AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
 2 800.00  
3700-01494 O-ring
3700-01494 O-ring
 39.95  
TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Some Wear Cleaned Used
TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Some Wear Cleaned Used
 2 804.15  1 402.07  
Ltx 865-0924-00 Rev. Eb Lbib Pcb. Serial Number 11123035. Circuit Board
Ltx 865-0924-00 Rev. Eb Lbib Pcb. Serial Number 11123035. Circuit Board
 699.95  
VME OE-MIO011-1 use on Hitachi CM-270 tested ###
VME OE-MIO011-1 use on Hitachi CM-270 tested ###
 289.00  
Amat 0020-79192 Gear sweep   Used
Amat 0020-79192 Gear sweep   Used
 270.00  
Tegal 99-240-003 Rev B ETS-3 Tegal PCB
Tegal 99-240-003 Rev B ETS-3 Tegal PCB
 499.00  
282-00031-01 Camera Video Cable  423851
282-00031-01 Camera Video Cable  423851
 250.00  
Analogic FAST 12-LP High Speed 12-Bit Data Acquisition Board
Analogic FAST 12-LP High Speed 12-Bit Data Acquisition Board
 450.00  
Power DAR Extender FAB 102972-001 Rev B
Power DAR Extender FAB 102972-001 Rev B
 40.00  
DIP EH0111(D)-10C Power Supply PCB EH0111 DB-D56-101E Working Spare
DIP EH0111(D)-10C Power Supply PCB EH0111 DB-D56-101E Working Spare
 610.17  
AMAT 0150-77102 Cable Assembly  409498
AMAT 0150-77102 Cable Assembly  409498
 250.00  
12-797490-00 Liebert Emerson Printed Circuit Board PCB --SA
12-797490-00 Liebert Emerson Printed Circuit Board PCB --SA
 265.21  
Nikon 4S025-582 Backplane Board PCB STGX8-BPR NSR-S620D ArF Immersion Used
Nikon 4S025-582 Backplane Board PCB STGX8-BPR NSR-S620D ArF Immersion Used
 1 802.19  1 261.53  
Ulvac Technologies EM0867-169-02A Ring Insulator Ceraus ZX-1000 PVD New Surplus
Ulvac Technologies EM0867-169-02A Ring Insulator Ceraus ZX-1000 PVD New Surplus
 1 508.18  
ETO Ehrhorn Technological Operations ABX-X228 RF Generator Controller PCB Rev 11
ETO Ehrhorn Technological Operations ABX-X228 RF Generator Controller PCB Rev 11
 2 508.18  1 755.73  
Eco Clair Osr-b300
Eco Clair Osr-b300
 7 950.00  
VINTAGE Bruker Card for SpectroSpin NMR B-SW 18
VINTAGE Bruker Card for SpectroSpin NMR B-SW 18
 99.99  
New Microset/electronic Card/pcb Us-236
New Microset/electronic Card/pcb Us-236
 325.00  
Boc Edwards D15405260 Control Module PCB Assembly D15405262
Boc Edwards D15405260 Control Module PCB Assembly D15405262
 329.99  
347-0203// Amat Applied 0020-29310 Pin  1/8 Thk  Coil Support  Knurled  Ve New
347-0203// Amat Applied 0020-29310 Pin  1/8 Thk  Coil Support  Knurled  Ve New
 200.00  
DPA102 Pumping Unit Control  DPA102 / 3x380/220 / BALZERS / PFEiFFER
DPA102 Pumping Unit Control  DPA102 / 3x380/220 / BALZERS / PFEiFFER
 750.00  
Brooks Brooksnet 002-8421-01
Brooks Brooksnet 002-8421-01
 249.00  
Lynn Electrode L147-587513NC Showerhead New
Lynn Electrode L147-587513NC Showerhead New
 200.00  
Trikon Technologies 150mm Uniformity Ring 169413
Trikon Technologies 150mm Uniformity Ring 169413
 169.99  112.19  
Watkins Johnson WJ951 Output Card PWB 902468-001 Artwork A00067 Rev A
Watkins Johnson WJ951 Output Card PWB 902468-001 Artwork A00067 Rev A
 159.99  
Elographics Inc Pcb P/n 170020 Rev B Assm Pn 002201
Elographics Inc Pcb P/n 170020 Rev B Assm Pn 002201
 499.00  
7310-2914-01 A For AG Asscoiates Heatpulse
7310-2914-01 A For AG Asscoiates Heatpulse
 200.00  
HPS 88-9167 / 100889167 Seal   CF CU/NI Plt  8 ID-AWM-F-1-005
HPS 88-9167 / 100889167 Seal   CF CU/NI Plt  8 ID-AWM-F-1-005
 45.00  
0100-35346 Ch Relay Coniacior Bd
0100-35346 Ch Relay Coniacior Bd
 150.00  
Vision Semicon Vs-l400 Brightiness Led Lighting  Used
Vision Semicon Vs-l400 Brightiness Led Lighting  Used
 200.00  
Kokusai Vertron Power Module CX1103M Used Working
Kokusai Vertron Power Module CX1103M Used Working
 404.12  
Opal SMC-RS232 EA 70417880100 EP 70317881300 bd
Opal SMC-RS232 EA 70417880100 EP 70317881300 bd
 269.00  
ORIENTAL MOTOR A4509-048 5-Phase Driver
ORIENTAL MOTOR A4509-048 5-Phase Driver
 99.99  
Asm 1060-225-01 Electronics Process Module Side Panel
Asm 1060-225-01 Electronics Process Module Side Panel
 307.18  
Artesyn Technologies P600-963102M Rev 9F (Part Number: 706450-158)
Artesyn Technologies P600-963102M Rev 9F (Part Number: 706450-158)
 345.00  
TREBOR AW001 Body
TREBOR AW001 Body
 1 199.00  
SMC FQ1011N-10-R013N-B Quick Change Filter Used Working
SMC FQ1011N-10-R013N-B Quick Change Filter Used Working
 407.12  
Bushing  Bore Dia 12mm O.D. 22mm Length 32mm For STS ICP STS PRO ICP
Bushing Bore Dia 12mm O.D. 22mm Length 32mm For STS ICP STS PRO ICP
 145.00  
Lasertec C-100957 Backplane Board PCB C-100956 Used Working
Lasertec C-100957 Backplane Board PCB C-100956 Used Working
 1 402.19  
ACCRETECH SCU-500S Chiller Unit *untested
ACCRETECH SCU-500S Chiller Unit *untested
 12 000.00  8 400.00  
AMAT Applied Materials 0010-00345 150mm Pedestal Assembly Anodized Refurbished
AMAT Applied Materials 0010-00345 150mm Pedestal Assembly Anodized Refurbished
 1 507.18  
ASM Advanced Semiconductor Materials 1079-513-01 Short High Texture Diffuser
ASM Advanced Semiconductor Materials 1079-513-01 Short High Texture Diffuser
 407.18  
0090-01432 /assy Tc Amp Low Temp Besc / Applied Materials Amat
0090-01432 /assy Tc Amp Low Temp Besc / Applied Materials Amat
 5 600.00  
Lot Of 5 New Ulcoat Mask Blanks Ip3500 4600a Z3wfq-6025(u)-lip3500ff 5pcs Nib
Lot Of 5 New Ulcoat Mask Blanks Ip3500 4600a Z3wfq-6025(u)-lip3500ff 5pcs Nib
 399.99  
Asymtek 60-0200-03 Rev.1
Asymtek 60-0200-03 Rev.1
 350.00  
Asymtek 196652 Assy Stop Pin
Asymtek 196652 Assy Stop Pin
 95.00  
Fuji FVR1 . 5E9S-7EY Frequency Converter + Power Filter
Top-Rated Plus Seller Fuji FVR1 . 5E9S-7EY Frequency Converter + Power Filter
 399.00  
Granville Phillips 307 Vacuum Gauge Controller  ( (ubi12)
Top-Rated Plus Seller Granville Phillips 307 Vacuum Gauge Controller ( (ubi12)
 250.00  187.50  
SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399 Used Working
SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399 Used Working
 806.18  
Nikon 4S008-090 DC-DC Converter Board PCB LIUREG2 Nikon NSR-S204B System Used
Nikon 4S008-090 DC-DC Converter Board PCB LIUREG2 Nikon NSR-S204B System Used
 1 212.17  848.52  
Tegal 50574-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
Tegal 50574-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
 499.00  
Robot Effector Robot ARM AWR-BB-3-001
Robot Effector Robot ARM AWR-BB-3-001
 950.00  
Applied Materials VGA Monitor Base Unit 0010-75091 Rev. A
Top-Rated Plus Seller Applied Materials VGA Monitor Base Unit 0010-75091 Rev. A
 79.99  
TEL Tokyo Electron B32610-100722-V1 Cover PM Holder Y BEL Used Working
TEL Tokyo Electron B32610-100722-V1 Cover PM Holder Y BEL Used Working
 803.15  
Edwards U20000953 MCM Interface Module
Edwards U20000953 MCM Interface Module
 407.18  
Adcs 969 Low Level Monitor
Adcs 969 Low Level Monitor
 100.00  
AMAT 0020-06315  0020-06315B  Glass  3.6" View Ports. 414869
AMAT 0020-06315  0020-06315B  Glass  3.6" View Ports. 414869
 200.00  
PDC-150-030 (LTX 876-1383-00 Rev. C)PCB(Printed circuit board)
PDC-150-030 (LTX 876-1383-00 Rev. C)PCB(Printed circuit board)
 179.95  
Electroglas Gold Plated Chuck Assy  6” Inch ((Used Working))
Electroglas Gold Plated Chuck Assy  6” Inch ((Used Working))
 300.00  210.00  
Etch Chamber Window  A16-56987A  Farmon ID 412178
Etch Chamber Window  A16-56987A  Farmon ID 412178
 150.00  
Tegal PCB 98-044-002 / 99-044-002
Tegal PCB 98-044-002 / 99-044-002
 499.00  
ZiaTech ZT90011 RS232 Interface AG Associates Heatpulse PCB
ZiaTech ZT90011 RS232 Interface AG Associates Heatpulse PCB
 250.00  
SAMIL NFZ-4300SG NOISE FILTER AC 450V 300A 50/60Hz   USED
SAMIL NFZ-4300SG NOISE FILTER AC 450V 300A 50/60Hz   USED
 200.00  
141aa-00010db-s / Switch  Vac  10t  141aa-00010db-s / Mks
141aa-00010db-s / Switch  Vac  10t  141aa-00010db-s / Mks
 540.00  
Amat 0020-78409 Bracket cassette Tray   Used
Amat 0020-78409 Bracket cassette Tray   Used
 500.00  
Ltx 865-1474-02 Rev. Jc. Pca/gen 64k Memory 2  Pcb. S/n 11087030. Circuit Board
Ltx 865-1474-02 Rev. Jc. Pca/gen 64k Memory 2 Pcb. S/n 11087030. Circuit Board
 699.95  
AMAT 0150-21550 Assembly  CH E Orienter Umbilical  407265
AMAT 0150-21550 Assembly  CH E Orienter Umbilical  407265
 550.00  
Gas Lines With Valves And Meters
Gas Lines With Valves And Meters
 950.00  
MKS Instruments Stainless Steel Dampening Bellow Pipe HPS Used Working
MKS Instruments Stainless Steel Dampening Bellow Pipe HPS Used Working
 308.16  
Amat 3030-11267 Mass Flow Controller Celerity Ufc-8565
Amat 3030-11267 Mass Flow Controller Celerity Ufc-8565
 800.00  
Asm 02-187791d01 Assy Vid/temp Ctr Pwr Lh Ph2
Asm 02-187791d01 Assy Vid/temp Ctr Pwr Lh Ph2
 307.18  
Amat 0020-78455 Trim  Front Long Skins   Used
Amat 0020-78455 Trim  Front Long Skins   Used
 400.00  
Teravicta 8_Dev_Doc Rev b With 8_CotoB41_DC_b PCB
Teravicta 8_Dev_Doc Rev b With 8_CotoB41_DC_b PCB
 750.00  
Amat 3030-11374 Mass Flow Controller Celerity Ufc-8565
Amat 3030-11374 Mass Flow Controller Celerity Ufc-8565
 800.00  
Tegal Pcb 99-172-001 Rev 3 Imn-1
Tegal Pcb 99-172-001 Rev 3 Imn-1
 499.00  
7310-2889-01(7310-2829-01?) B Robot Effector AG Associates
7310-2889-01(7310-2829-01?) B Robot Effector AG Associates
 2 500.00  
Matheson Totalizer Model 8124 0-100 Counts Per Minute And Newport P6000
Matheson Totalizer Model 8124 0-100 Counts Per Minute And Newport P6000
 1 950.00  
Lam 810-048219-021 Pcb Assy
Lam 810-048219-021 Pcb Assy
 599.00  
Gespac PIA-2A GESPIA-2A BOARD  WORKING
Gespac PIA-2A GESPIA-2A BOARD  WORKING
 300.00  
Unit Instruments Ufc-1000 100 Sccm Ar Mass Flow Controller Mfc Stainless Steel
Unit Instruments Ufc-1000 100 Sccm Ar Mass Flow Controller Mfc Stainless Steel
 40.00  
0150-40432  assy Cable  pwr  tmc
0150-40432  assy Cable  pwr  tmc
 100.00  
0620-02024  Amatcbleset1b  Cable Assy
0620-02024  Amatcbleset1b  Cable Assy
 100.00  
Ion Systems IN-LINE Gas Ionizer
Top-Rated Plus Seller Ion Systems IN-LINE Gas Ionizer
 149.99  
RING  SLIP-FREE 6 Inch
RING  SLIP-FREE 6 Inch
 1 500.00  
RING  SLIP-FREE 6 Inch
RING  SLIP-FREE 6 Inch
 1 500.00  
TLA Technology 519-000 PCB Used Working
TLA Technology 519-000 PCB Used Working
 604.10  
Nikon LANRCSLIFX4 Interface Board PCB FarmVer. 1.01 NSR-S307E DUV 300mm Used
Nikon LANRCSLIFX4 Interface Board PCB FarmVer. 1.01 NSR-S307E DUV 300mm Used
 1 506.17  1 054.32  
AMAT 0020-22237 Cover Ring  8" 424118
AMAT 0020-22237 Cover Ring  8" 424118
 895.00  
AMAT 0150-77102 Cable Assembly  409499
AMAT 0150-77102 Cable Assembly  409499
 250.00  
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423872
Keyence BL-651HA Laser Barcode Scanner  Reader  Sensor  423872
 195.00  
Rexroth Star Gmbh D-97419 Nib
Rexroth Star Gmbh D-97419 Nib
 199.97  181.97  
nView Corporation 103018 Video Processor Board PCB Card Untested As-Is
nView Corporation 103018 Video Processor Board PCB Card Untested As-Is
 501.12  
Amat 0140-03248 Cable Meg Tank Lvl/door Cl Sw Lo Elect M used
Amat 0140-03248 Cable Meg Tank Lvl/door Cl Sw Lo Elect M used
 200.00  
Tel Gfc-ctl2 2108-020089-11 2181-020089-13 2184-020132-11
Tel Gfc-ctl2 2108-020089-11 2181-020089-13 2184-020132-11
 199.00  
Lm 4c Lpsa Used Asml 4022.634.06722 4022.634.04001 4022.472.86662 Sem-i-573=9a38
Lm 4c Lpsa Used Asml 4022.634.06722 4022.634.04001 4022.472.86662 Sem-i-573=9a38
 999.90  
Eaton Csms4a4cy1606 Ser. 1 Nsmp
Eaton Csms4a4cy1606 Ser. 1 Nsmp
 86.00  
Evans Environmental Products E Doc Model E.doc-sd-1sl-004x-d1
Evans Environmental Products E Doc Model E.doc-sd-1sl-004x-d1
 1 699.99  
Gas Lines With Valves And Meters
Gas Lines With Valves And Meters
 280.00  
74HC4020 14 Stage Counter Surplus spares parts in stock
74HC4020 14 Stage Counter Surplus spares parts in stock
 25.00  
74HC14 HEX Schmitt Inverter Surplus spares parts in stock
74HC14 HEX Schmitt Inverter Surplus spares parts in stock
 25.00  
LM393 Dual Comparator Surplus spares parts in stock
LM393 Dual Comparator Surplus spares parts in stock
 25.00  
767163512G CTS9741 Surplus spares parts in stock
767163512G CTS9741 Surplus spares parts in stock
 25.00  
74HC74 Dual D Flip FLOP Surplus spares parts in stock
74HC74 Dual D Flip FLOP Surplus spares parts in stock
 25.00  
DIGI-KEY 800 344-4539 PB284-ND Relay Surplus spares parts in stock
DIGI-KEY 800 344-4539 PB284-ND Relay Surplus spares parts in stock
 25.00  
E7120-87819 / New Fru Clock Module Rblt/exch Clock Module /
E7120-87819 / New Fru Clock Module Rblt/exch Clock Module /
 999.00  
AMAT 0021-09914  Plate  Blocker  DXZ SACVD  Centura  423938
AMAT 0021-09914  Plate  Blocker  DXZ SACVD  Centura  423938
 450.00  
74HC00 Quad 2 In NAND
74HC00 Quad 2 In NAND
 25.00  
Mr-j2s-70b / Mitsubishi  Ac Servo Driver  Motor / Mitsubishi
Mr-j2s-70b / Mitsubishi Ac Servo Driver  Motor / Mitsubishi
 300.00  
Amat 0040-13282 Door  300mm Non-enp Chamber Sl 407127. 407209
Amat 0040-13282 Door  300mm Non-enp Chamber Sl 407127. 407209
 650.00  
7450-0091-01 Ring  Slip-free 8 Inch
7450-0091-01 Ring  Slip-free 8 Inch
 1 500.00  
SVG Silicon Valley Group 859-5194-003 PCB Assembly 859-0743-011 Used Working
SVG Silicon Valley Group 859-5194-003 PCB Assembly 859-0743-011 Used Working
 806.15  
VME OE-MIO011-1 use on Hitachi CM-270 tested ##
VME OE-MIO011-1 use on Hitachi CM-270 tested ##
 289.00  
ASM 02-196601-01 Assembly Cable Reactor PLT to SC New
ASM 02-196601-01 Assembly Cable Reactor PLT to SC New
 307.18  
Bs Csp 140x190 448 S/n:05 /04/06
Bs Csp 140x190 448 S/n:05 /04/06
 250.00  
AMAT 0020-84423 Spreader Plate  418844
AMAT 0020-84423 Spreader Plate  418844
 99.00  
346-0302// Amat Applied 0020-20655 Buss Bar Upper 8" [used]
346-0302// Amat Applied 0020-20655 Buss Bar Upper 8" [used]
 90.00  
Ericsson Filter Al-SiBCu3Fe SEA 920031 UML 102 014/1
Ericsson Filter Al-SiBCu3Fe SEA 920031 UML 102 014/1
 302.73  
ASM Advanced Semiconductor Materials 1076-993-01 Moving Plate A New
ASM Advanced Semiconductor Materials 1076-993-01 Moving Plate A New
 508.18  
Setra 225 Pressure Transducer  2251050PGC42C06  452161
Setra 225 Pressure Transducer  2251050PGC42C06  452161
 150.00  
Setra 225 Pressure Transducer  2251050PGC42C06  452162
Setra 225 Pressure Transducer  2251050PGC42C06  452162
 150.00  
5289  Nikon BMU-IOP 4S064-363 Controller
5289 Nikon BMU-IOP 4S064-363 Controller
 3 200.00  
5290 Nikon BMU-IOP 4S064-363 Controller
5290 Nikon BMU-IOP 4S064-363 Controller
 3 200.00  
5303  Nikon BMU-IOP 4S064-363 Controller
5303 Nikon BMU-IOP 4S064-363 Controller
 3 200.00  
Fuji Electric SC-N6 [125] AC contactor  USED
Fuji Electric SC-N6 [125] AC contactor  USED
 200.00  
Hitachi 573-7015    SHCPU Card  USED
Hitachi 573-7015 SHCPU Card  USED
 350.00  
Hitachi 573-7018 SHAIO Card  USED
Hitachi 573-7018 SHAIO Card  USED
 350.00  
Gryphon Spares
Gryphon Spares
 299.00  
Mrgh25x200 / Air Guided Cylinder K-zg553b2 / Koganei
Mrgh25x200 / Air Guided Cylinder K-zg553b2 / Koganei
 300.68  
AMAT 0020-03760 Panel  Electronics Chassis  Upper Rear  418144
AMAT 0020-03760 Panel  Electronics Chassis  Upper Rear  418144
 325.00  
Saint Gobain 3494207R Semiconductor Component
Saint Gobain 3494207R Semiconductor Component
 19.99  
ASM 02-82750 Z-SERVO 03-28305-04 rev HP
ASM 02-82750 Z-SERVO 03-28305-04 rev HP
 279.00  
Swagelok SS-43GS4   Ball Valve  452179
Swagelok SS-43GS4  Ball Valve  452179
 45.00  
Swagelok SS-43GS4  Ball Valve  452186
Swagelok SS-43GS4  Ball Valve  452186
 45.00  
Melec SP-378-7 EAUA-023600
Melec SP-378-7 EAUA-023600
 299.00  
AMAT 0140-02922 Rev.003  Interlock  Interconnect  Harness  Inside 300mm. 418750
AMAT 0140-02922 Rev.003  Interlock  Interconnect  Harness  Inside 300mm. 418750
 250.00  
35-a812246798781 / Hr Mirror Cwq 205 / Asm America Inc
35-a812246798781 / Hr Mirror Cwq 205 / Asm America Inc
 400.03  
Microburst / Microburst / Applied Precision
Microburst / Microburst / Applied Precision
 8 500.68  
0040-48541 / Adapter  Upper  With Bushing  8 Long  S / Applied Materials Amat
0040-48541 / Adapter  Upper  With Bushing  8 Long  S / Applied Materials Amat
 15 062.03  
104-0401// Amat Applied 1410-00589 Heater Jacket  Upper  Zone 1  Item 1  Sa New
104-0401// Amat Applied 1410-00589 Heater Jacket  Upper  Zone 1  Item 1  Sa New
 700.00  
Saint-Gobain 4117450-0001 Disk Baffle Reseller Lot of 11 New
Saint-Gobain 4117450-0001 Disk Baffle Reseller Lot of 11 New
 508.18  
343-0401// Amat Applied 0020-10193 Plug Shank Thrtl Vlve Used
343-0401// Amat Applied 0020-10193 Plug Shank Thrtl Vlve Used
 100.00  
AMAT 0020-24722 Cover Ring 8" 101/COH (AR) 13"  411012
AMAT 0020-24722 Cover Ring 8" 101/COH (AR) 13"  411012
 450.00  
Quad 10-12644
Quad 10-12644
 825.00  
Chiyoda Seiki EX-500mkII-TD Pressure Regulator  Controller  407034
Chiyoda Seiki EX-500mkII-TD Pressure Regulator  Controller  407034
 100.00  
NEW MKS TECHNOLOGIES 492019-1004 HEATER JACKET- 4” Long 1.9” Diameter 120 VAC
NEW MKS TECHNOLOGIES 492019-1004 HEATER JACKET- 4” Long 1.9” Diameter 120 VAC
 260.00  
K&S Kulicke Soffa 05100-4200-000-29 Control Board
K&S Kulicke Soffa 05100-4200-000-29 Control Board
 170.98  
100
PicClick®

Stainless Steal    D-FW-LL1-SS E31NRLP-LSN-NS-02四川大平洋步进电机22NRXB-LNF-NS-00驱动器6410-001-N-N-N E22NR-FT-JDN-NS-02 LBK01E22NRFT-100 AM23HS84B0-04 AM17HDB410-PG10 AM34HD2403-BR01 AM24HC3306-03 AM34HD2403-PG05 AM34HD2805-PG05 AM17HD6426-PG05 AM14HA74A0-02N 成都步进驱动器供应,成都步进电机维修,成都步进电机销售,成都步进马达供应,130BYG350EH DY3E-40 57CM23-BZ STM24SF-3AN DY3F-40 MS-3H057M P110-65 103H7823-0440 DM442-IO-24 D57CM31-4A 86BYG350BH DQ258M 60CM20X 110BF003 86BYGH3125-1 DQ3722M 103H7126-0440 DM422C-DI YK42HB38-01A DY3E-28 130BYG350FH FHB366 57CM23-SZ DM358M FHB397-H 110BYGH3186 86BYG250AS ML42HS3L4600 D57CM21 Q2HB34MB 86BYG350CH 3CM880 60CM30X PX243-01A D57CME21 2M1180N ML42HS2L8600 57CM23-4A
E31NRLP-LSN-NS-02四川大平洋步进电机22NRXB-LNF-NS-00驱动器6410-001-N-N-N E22NR-FT-JDN-NS-02 LBK01E22NRFT-100 P22MRXA-LNF-NS-00,P22NRXA-LNF-NS-00 太平洋步进电机POWERMAX议价
太平洋高速步进电机 M22NSHB-LNN-NS-0太平洋PACIFIC丹纳赫DANAHER步进电机
高速两相四线57步进电机 POWERMAX 78MM 2N太平洋高速步进电机 M22NSHB-LNN-NS-0
Pacific Scientific 6420-010-N-K 太平洋步进驱动器    206SLAW17MPN   
MOTOR AC 0.3KW 220/450V 1PH 60­HZ 1.3A 2P
Tempress SPX M992320 006 Stainless Steel Pressure Gauge 0-100 Bar 0-1500 lb/in2
NEW NO BOX SQUARE D 10-155PSI PRESSURE SWITCH 9012 FLG6Opens in a new window or tab
 CDSC-03-C-D24-21  (24В)   «YUKEN»
REF NO. V1112BM01 = A2
DETECTOR
^^AMAT Applied Materials Endura Shield Treatment Controller P/N 0010-20098(YD51)Opens in a new window or tab
 桥架槽体    [2米/根][CPIKFS K-15/15-S]    PFLITSCH     
桥架盖    [2米/根][CPIKFS D 15-S]    PFLITSCH
护边    [PP][CPIKFS KS 15/15-TPE]    PFLITSCH     
直角弯通    [CPIKFS WVF 15/15-VA]    PFLITSCH     
网格桥架    [2.5米/根][CGKXFS-53X46-V4A]    PFLITSCH     
桥架盖板    [2.5米/根 网格桥架用][CGKXFS-DD-53-V4A]    PFLITSCH     
卡扣    [CGKFS-L-X1-V4A]    PFLITSCH     
桥架    [PVC 颜色RAL7035 40x57mm 2米/根][LF4006007035]    HAGER     
桥架    [PVC 颜色RAL7035 40x90mm 2米/根][LF4009007035]    HAGER     
桥架    [PVC 颜色RAL7035 80x130mm 2米/根][FB80130070351]    HAGER
桥架    [PC 颜色RAL7035 60x90mm 2米/根][LFH6009007035]    HAGER     
电缆固定夹    [M5281]    HAGER     
电缆固定夹    [M7248]    HAGER     
电缆固定夹    [M7239]    HAGER     
AMAT APPLIED MATERIALS 0195-14258 WATLOW EZ-ZONE CONTROLLER REV 004
AMAT APPLIED MATERIALS 0195-14258 WATLOW EZ-ZONE CONTROLLER REV 004Opens in a new window or tab
 
APPLIED MATERIALS AMAT ANORAD CMP POWER SUPPLY 0195-07163 REV F 102412-B
APPLIED MATERIALS AMAT ANORAD CMP POWER SUPPLY 0195-07163 REV F 102412-BOpens in a new window or tab
 
AMAT APPLIED MATERIALS 0190-32974 COMET RF AUTO MATCH 10011021 REV 02 13.56MHZ
AMAT APPLIED MATERIALS 0190-32974 COMET RF AUTO MATCH 10011021 REV 02 13.56MHZOpens in a new window or tab
 
AMAT APPLIED MATERIALS 0190-61144 ENDURA 2 TOWER CCT PSU 101714-02
AMAT APPLIED MATERIALS 0190-61144 ENDURA 2 TOWER CCT PSU 101714-02Opens in a new window or tab
 
APPLIED MATERIALS 0190-35216 REV A PROCESS CHAMBER INTERFACE BD 0190-35054 REV 4
APPLIED MATERIALS 0190-35216 REV A PROCESS CHAMBER INTERFACE BD 0190-35054 REV 4Opens in a new window or tab
 
Amat Applied Materials Phase Et Grand  Détect  Bd Assy 0100-09302 Rev 005
Amat Applied Materials Phase Et Grand  Détect  Bd Assy 0100-09302 Rev 005Opens in a new window or tab
 
 
APPLIED MATERIALS AMAT COMET 10008781 REV 06 RF MATCH 2000W CW 13.56 MHZ
APPLIED MATERIALS AMAT COMET 10008781 REV 06 RF MATCH 2000W CW 13.56 MHZOpens in a new window or tab
 
APPLIED MATERIALS AMAT  ISCAN MODULE 0010-47751
APPLIED MATERIALS AMAT ISCAN MODULE 0010-47751Opens in a new window or tab
 
AMAT APPLIED MATERIALS 0190-32974 COMET RF AUTO MATCH 10011021 REV 02 13.56MHZ
AMAT APPLIED MATERIALS 0190-32974 COMET RF AUTO MATCH 10011021 REV 02 13.56MHZOpens in a new window or tab
 
APPLIED MATERIALS AMAT DANAHER MOTION  EXMP 0190-39044
APPLIED MATERIALS AMAT DANAHER MOTION EXMP 0190-39044Opens in a new window or tab
 
APPLIED MATERIALS AMAT ANORAD CMP POWER SUPPLY 0195-07163 REV F 102412-B
APPLIED MATERIALS AMAT ANORAD CMP POWER SUPPLY 0195-07163 REV F 102412-BOpens in a new window or tab
 
APPLIED MATERIALS 0190-35216 REV A PROCESS CHAMBER INTERFACE BD 0190-35054 REV 4
APPLIED MATERIALS 0190-35216 REV A PROCESS CHAMBER INTERFACE BD 0190-35054 REV 4Opens in a new window or tab

AMAT APPLIED MATERIALS PCB CONVECTRON GAUGE 0100-20015
AMAT APPLIED MATERIALS PCB CONVECTRON GAUGE 0100-20015Opens in a new window or tab

APPLIED MATERIALS 300MM AMAT 0010-42030 HEATER PEDESTAL 0041-06180 USED
APPLIED MATERIALS 300MM AMAT 0010-42030 HEATER PEDESTAL 0041-06180 USEDOpens in a new window or tab

AMAT APPLIED MATERIALS ANALOG I/O PCB BOARD 0100-03497 REV 2 0100-03920
AMAT APPLIED MATERIALS ANALOG I/O PCB BOARD 0100-03497 REV 2 0100-03920Opens in a new window or tab

APPLIED MATERIALS AMAT CAPACITANCE PIRANI GAUGE 3310-00073
APPLIED MATERIALS AMAT CAPACITANCE PIRANI GAUGE 3310-00073Opens in a new window or tab

COPLEY CONTROLS  APPLIED MATERIALS SERVO AMPLIFIER 800-1514A  AMAT 0190-31257
COPLEY CONTROLS APPLIED MATERIALS SERVO AMPLIFIER 800-1514A AMAT 0190-31257Opens in a new window or tab

Amat Applied Materials 0195-14258 Watlow Ez-Zone Contrôl  Rev 004
Amat Applied Materials 0195-14258 Watlow Ez-Zone Contrôl  Rev 004Opens in a new window or tab

APPLIED MATERIALS AMAT ASSY END POINT DETECTOR 0040-01556/0010-17814
APPLIED MATERIALS AMAT ASSY END POINT DETECTOR 0040-01556/0010-17814Opens in a new window or tab

AMAT Applied Materials 30614310200 E84_INT Board PCB SEMVision cX Defect Used
AMAT Applied Materials 30614310200 E84_INT Board PCB SEMVision cX Defect UsedOpens in a new window or tab

APPLIED MATERIALS 0010-05846 ENDURA HE RF MATCH DC BIAS IMP VECTRA 13.56MHZ
APPLIED MATERIALS 0010-05846 ENDURA HE RF MATCH DC BIAS IMP VECTRA 13.56MHZOpens in a new window or tab

AMAT APPLIED MATERIALS ASSEMBLY 0100-09367 AUTOBIAS BOARD A
AMAT APPLIED MATERIALS ASSEMBLY 0100-09367 AUTOBIAS BOARD AOpens in a new window or tab

Amat Applied Materials 0100-35232 Rev A PCB 13.56 MHZ RF Correspondant Détect
Amat Applied Materials 0100-35232 Rev A PCB 13.56 MHZ RF Correspondant Détect Opens in a new window or tab

Amat Applied Materials PCB Convectron Jauge 0100-20015
Amat Applied Materials PCB Convectron Jauge 0100-20015Opens in a new window or tab

Amat Applied Materials 0100-00757 Rev 002 Dc Bias Interface Carte PCB 0110-20312
Amat Applied Materials 0100-00757 Rev 002 Dc Bias Interface Carte PCB 0110-20312Opens in a new window or tab

COPLEY CONTROLS APPLIED MATERIALS SERVO AMPLIFIER 800-1514A AMAT 0190-31257
COPLEY CONTROLS APPLIED MATERIALS SERVO AMPLIFIER 800-1514A AMAT 0190-31257Opens in a new window or tab

Amat Applied Materials Réfrigérés Piédestal Amp 0090-03805 Rev 002
Amat Applied Materials Réfrigérés Piédestal Amp 0090-03805 Rev 002Opens in a new window or tab

AMAT APPLIED MATERIALS ASSEMBLY 0100-09367 AUTOBIAS BOARD A
AMAT APPLIED MATERIALS ASSEMBLY 0100-09367 AUTOBIAS BOARD AOpens in a new window or tab

AMAT APPLIED MATERIALS PHASE AND MAGNITUDE DETECTOR BD ASSY 0100-09302 REV 005
AMAT APPLIED MATERIALS PHASE AND MAGNITUDE DETECTOR BD ASSY 0100-09302 REV 005Opens in a new window or tab

AMAT APPLIED MATERIALS ESC HV CONTROLLER ASSY 0100-09298 REV A
AMAT APPLIED MATERIALS ESC HV CONTROLLER ASSY 0100-09298 REV AOpens in a new window or tab

AMAT APPLIED MATERIALS 0100-35232 REV A PCB 13.56 MHZ RF MATCH DETECTOR
AMAT APPLIED MATERIALS 0100-35232 REV A PCB 13.56 MHZ RF MATCH DETECTOROpens in a new window or tab

APPLIED MATERIALS MKS CDN294R HARDENED DEVICENET I/O BLOCK 0190-30346
APPLIED MATERIALS MKS CDN294R HARDENED DEVICENET I/O BLOCK 0190-30346Opens in a new window or tab

Amat Applied Materials 0100-00689 Rev 01 PCB Puissance Module
Amat Applied Materials 0100-00689 Rev 01 PCB Puissance ModuleOpens in a new window or tab

Amat Applied Materials Contrôl  Fond de Panier Assy No 0100-20454 Carte PCB
Amat Applied Materials Contrôl  Fond de Panier Assy No 0100-20454 Carte PCBOpens in a new window or tab

Amat Applied Materials Phase Et Grand  Détect  Bd Assy 0100-09302 Rev 001
Amat Applied Materials Phase Et Grand  Détect  Bd Assy 0100-09302 Rev 001Opens in a new window or tab
Pre-Owned
C  400.84Top Rated SellerTop Rated Seller +C  102.75 shippingfrom United States
APPLIED MATERIALS AMAT MKS CAPACITANCE MANOMETER 1350-00682
APPLIED MATERIALS AMAT MKS CAPACITANCE MANOMETER 1350-00682Opens in a new window or tab

APPLIED MATERIALS AMAT CAPACITANCE PIRANI GAUGE 3310-00073
APPLIED MATERIALS AMAT CAPACITANCE PIRANI GAUGE 3310-00073Opens in a new window or tab

AMAT APPLIED MATERIALS 0100-00757 REV 002 DC BIAS INTERFACE PCB BOARD 0110-20312
AMAT APPLIED MATERIALS 0100-00757 REV 002 DC BIAS INTERFACE PCB BOARD 0110-20312Opens in a new window or tab

APPLIED MATERIALS MKS CDN294R HARDENED DEVICENET I/O BLOCK 0190-30346
APPLIED MATERIALS MKS CDN294R HARDENED DEVICENET I/O BLOCK 0190-30346Opens in a new window or tab

APPLIED MATERIALS HDPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
APPLIED MATERIALS HDPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001Opens in a new window or tab

APPLIED MATERIALS AMAT CABLE ASSY  INTERLOCK KEY 0150-08851
APPLIED MATERIALS AMAT CABLE ASSY  INTERLOCK KEY 0150-08851Opens in a new window or tab

Applied Materials Amat Carte PCB National Instrument AT-MI0-64E-3
Applied Materials Amat Carte PCB National Instrument AT-MI0-64E-3Opens in a new window or tab

AMAT APPLIED MATERIALS ESC HV CONTROLLER ASSY 0100-09298 REV A
AMAT APPLIED MATERIALS ESC HV CONTROLLER ASSY 0100-09298 REV AOpens in a new window or tab

* Refurb* Applied Materials 0040-76266 Plate Chamber Insulator + 30 day warranty
* Refurb* Applied Materials 0040-76266 Plate Chamber Insulator + 30 day warrantyOpens in a new window or tab

APPLIED MATERIALS AMAT ENDURA SOURCE PULLEY 0015-20002 SEALED
APPLIED MATERIALS AMAT ENDURA SOURCE PULLEY 0015-20002 SEALEDOpens in a new window or tab

AMAT APPLIED MATERIALS ANALOG I/O PCB BOARD 0100-03497 REV 2 0100-03920
AMAT APPLIED MATERIALS ANALOG I/O PCB BOARD 0100-03497 REV 2 0100-03920Opens in a new window or tab

Amat Applied Materials 0195-15427 Rev A RF Correspondant ULPF10075-18037
Amat Applied Materials 0195-15427 Rev A RF Correspondant ULPF10075-18037Opens in a new window or tab

AMAT APPLIED MATERIALS CONTROLLER BACKPLANE ASSY NO 0100-20454 PCB BOARD
AMAT APPLIED MATERIALS CONTROLLER BACKPLANE ASSY NO 0100-20454 PCB BOARDOpens in a new window or tab

Boc Edwards A52844410 Im Applied Materials Smart Pump Interface
Boc Edwards A52844410 Im Applied Materials Smart Pump InterfaceOpens in a new window or tab

APPLIED MATERIALS AMAT CABLE ASSY  INTERLOCK KEY 0150-08851
APPLIED MATERIALS AMAT CABLE ASSY  INTERLOCK KEY 0150-08851Opens in a new window or tab

Applied Materials Amat Câble Assy  Verrouillage Clé 0150-08851
Applied Materials Amat Câble Assy  Verrouillage Clé 0150-08851Opens in a new window or tab

AMAT APPLIED MATERIALS 0100-00689 REV 01 PCB POWER MODULE
AMAT APPLIED MATERIALS 0100-00689 REV 01 PCB POWER MODULEOpens in a new window or tab

APPLIED MATERIALS ISCAN MODULE 0010-37905 NO COVER PLATE
APPLIED MATERIALS ISCAN MODULE 0010-37905 NO COVER PLATEOpens in a new window or tab

^^AMAT Applied Materials Endura Shield Treatment Controller P/N 0010-20098(YD51)
^^AMAT Applied Materials Endura Shield Treatment Controller P/N 0010-20098(YD51)Opens in a new window or tab

APPLIED MATERIALS LIFT ASSY 0060-01235 REV 006 BOSCH REXROTH 0021-15813 REV 002
APPLIED MATERIALS LIFT ASSY 0060-01235 REV 006 BOSCH REXROTH 0021-15813 REV 002Opens in a new window or tab

APPLIED MATERIALS 0100-01652 REV 001 HPCVD 300MM AP GAS PANEL DISTRIBUTIONS ASSY
APPLIED MATERIALS 0100-01652 REV 001 HPCVD 300MM AP GAS PANEL DISTRIBUTIONS ASSYOpens in a new window or tab

AMAT APPLIED MATERIALS CHILLED PEDESTAL AMP 0090-03805 REV 002
AMAT APPLIED MATERIALS CHILLED PEDESTAL AMP 0090-03805 REV 002Opens in a new window or tab

APPLIED MATERIALS AMAT CNTRL ELECTRONICS PKG GEN CALIBRATION AE 0500-01036
APPLIED MATERIALS AMAT CNTRL ELECTRONICS PKG GEN CALIBRATION AE 0500-01036Opens in a new window or tab

APPLIED MATERIALS HDPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
APPLIED MATERIALS HDPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
FUNCTION:ABB GENERATOR AMG 900XU10DSE
RATING: -60 C TO 180 C;
SIZE: SMALL TERMINAL HEAD   DIN 43 729 FORM P
  BIG TERMINAL HEAD  DIN 43729 FORM B;
STANDARD/SPECIFICATION:IEC751
固定颚板的螺栓100114-001        100114-001    Weatherford tong
颚板滚轮轴112166-001       112166-001    Weatherford tong
颚板保持架        109349-001    Weatherford tong
柱塞总成112414-001       112414-001    Weatherford tong
半联轴器103646-001     103646-001    Weatherford tong
半联轴器内螺纹103647-001       103647-001    Weatherford tong
高压软管100357-001(威德福液压大钳的SPTA)       100357-001    Weatherford tong
高压软管RVD 1”-1/4”x50”(31.8毫米x 15) 100358-001    1"-1/4"х50"(31 8 mm х15) 100358-001    Weatherford tong
热交换器总成125471-001       125471-001    Weatherford tong
柔性管道190450-020      190450-020    Weatherford tong
软管总成103693-001      103693-001    Weatherford tong
带配件103692-001的高压软管组件          103692-001    Weatherford tong
液压提缸103643-001       103643-001    Weatherford tong
软管总成114798-004       114798-004    Weatherford tong
软管总成114799-201       114799-201    Weatherford tong
软管总成114799-404       114799-404    Weatherford tong
软管总成D533毫米长27686毫米114800-500       D533 mm L 27686 mm 114800-500    Weatherford tong
高压软管总成D25.4x30.5mm 100357-015        D25 4 х 30 5 mm 100357-015    Weatherford tong
多用途软管总成D31.8x30.5毫米100358-012    D31 8 x 30 5 mm 100358-012    Weatherford tong
固定颚板的螺栓100114-001        100114-001    Weatherford tong
颚板滚轮轴112166-001       112166-001    Weatherford tong

00-664345-00 - AY CLIPACTUATOR
00-664346-01 - MOUNT ASSY
00-671880-00 - PWA  H20FLOW
00-672057-00 -
00-672217-00 - VALVE ASSY
00-672852-00 - RAM BELLOWS
00-673190-00 - SWITCH  PRESSURE
00-674493-01 - SPRING  RETAINER
00-677894-04 - FEED THRU COAX
00-678304-02 - STOP  WAFER BLADE
00-683428-04 - ROD ASSY. RF CHOKE
00-683521-03 - SHIELD SCR CAVITY
00-684049-00 - ASSY  MOTOR  WH
00-684648-00 - REV A  KEY
0000-00244 -
0000-00560 - POI DIW SPRAY FRONT SWITCH M-60-T23-01-007
0000-10522 -
0000-40117 - BLOCK OFF PLATE 1.40 DIA. TRANSFER CHAMBER
0000-57120 - VALVE SOLENOID
0002-96900 - FLAT WASHER
0002-99987 - KAMMER INTERFACE PLATE
0005-40274 - MKS CONTROL VALVE
00050-20073 - GAS LINE CHAMBER 3 HEATER MFC 1 VCR
0010-00003 - CARDCAGE ASSY VME PROCESSORF03606
0010-00004 - CARD CAGE VME POWER SPLY
0010-00005 - VME INTERFACE ASSY
0010-00006 - CONTROL PANEL
0010-00007 - ASSY FAN HTR LIFT WXZ 300MM
0010-00008 - ASSY PIN LIFT WXZ 300MM
0010-00009 - FAN BRACKET ASSY
0010-00010 - ASSY HTR LIFT WXZ 300MM
0010-00011 - PCB
0010-00012 - POWER SUPPLY SYS CONT
0010-00014 - SEAL ASSY CASSETTE ELEVATOR
0010-00015 - AC OUTLET SYS CONTROLLER
0010-00016 - EXHAUST PANEL SYS CONT ASSY.
0010-00017 - ION TC/AC CNTRL MODULE
0010-00018 - PANEL FILTER ASSY
0010-00019 - ANALOG POWER SUPPLY ASSEMBLY
0010-00021 - WxZ GAS BOX
0010-00023 - ASSEMBLY. BPSG. CHAM A  W/UNIT  10RA RTP
0010-00024 - ASSY  PANEL  N2 PURGE  SUBZERO BESC&BLT
0010-00026 - ATMOSPHERE SWITCH
0010-00027 - ASSY  FRONT PANEL
0010-00028 - POWER SUPPLY ASSY
0010-00030 - ION/TC AC MODULE
0010-00031 - PANEL ASSY VME INTERFACE
0010-00032 - TURBO CNTRL INTERFACE
0010-00033 - VME SYSTEM CONTROLLER
0010-00035 - TURBO CONTROLLER
0010-00036 - SYSTEM ELECTRONIC ASSY
0010-00038 - BRACKET AC JUNCTION ASSY
0010-00040 - BLADE DRIVE ASSY
0010-00044 - POLE & COIL UPPER  SCAN MAGNET
0010-00045 - POLE & COIL LOWER  SCAN MAGNET
0010-00046 - DC COIL ASSY  SCAN MAGNET
0010-00047 - COIL ASSY  COLLIMATOR MAGNET
0010-00049 - HEXODE ASSEMBLY
0010-00058 - ROTATION ASSY
0010-00064 - GAS MODULE ASSY-VCO BASIC H
0010-00065 - GENEVA MOTOR DRIVE ASSY
0010-00070 - STEP MTR/ENCODER
0010-00071 - ASSY  STEP MOTOR 2.25"
0010-00077 - PNEUMATIC HOSE HARNESS ASSY
0010-00086 - PCB ASSY
0010-00098 - ASSEMBLY  THROTTLE VALVE
0010-00112 - SHUTTLE ASSEMBLY
0010-00114 - BEZEL OPERATOR ASSY
0010-00125 - INDEXERS ASSEMBLY
0010-00128 - HEAT EXCHANGER ASSYN03598
0010-00129 - PRESS RELEASE TUBE ASSY
0010-00130 - CRYO REGENERATION
0010-00133 - SYSTEM INTERCONNECT
0010-00135 - 48V POWER SUPPLY ASSY
0010-00138 - UPPER FRAME AC DIST ASSY
0010-00139 - POWER SUPPLY MOD # LPS-56-24
0010-00141 - REMOTE AC POWER BOX
0010-00144 - FAN ASSY
0010-00146 - ASSY  MANOMETER ELECTRCL
0010-00147 - ASSY ENDPOINT INTERCONN
0010-00148 - CRYO TEMP SENSOR ASSY
0010-00150 - REMOTE AC CARD RACK ASSY
0010-00151 - CATHODE POSITIVE DECODER
0010-00153 - SHAFT ASSY  SHUTTLE
0010-00154 - HEAT EXCHANGER AC BOX
0010-00156 - ISOLATION AMPLIFIER BRD ASSY
0010-00157 - Emission Detector
0010-00162 - I/O BOARD PRECISION 5000
0010-00163 - SHAFT  Z-AXIS ASSY
0010-00165 - LEAD SCREW ASSY
0010-00166 - GUIDE/MOTOR ASSY
0010-00169 - DOOR ASSY MAIN FRAME
0010-00171 - GATE VALVE ACTUATOR
0010-00172 - LOAD CHAMBER ASSY
0010-00174 - WBOX  REDUCER MACHINE
0010-00181 - LOAD VENT ASSY SLOW-FAST
0010-00182 - DOOR CLOSURE MECHAN ASSY
0010-00183 - 3.4"STEPPER MOTOR
0010-00187 - SHAFT/HOUSING ASSY
0010-00188 - Z-AXIS ASSY
0010-00195 - PCB
0010-00198 - SOCKET ASSY  REFLECTOR
0010-00201 - DI SENSOR ASSEMBLY
0010-00202 - ASSY EXHAUST PANEL
0010-00206 - CHILL "IN" LINE HEAT EXCHANGER
0010-00209 - PNEUMATIC MANIFOLD ASSY
0010-00212 - ROBOT ASSEMBLY
0010-00215 - PHASE/MAG DETECTOR ASSY
0010-00216 - REMOTE KEYBOARD POWER SUPPLY
0010-00217 - COIL
0010-00218 - WIPER MOTOR ASSY
0010-00219 - BLADE MOTOR ASSY
0010-00226 - DARLINGTON DRIVE ASSY
0010-00227 - FOOTSWITCH ASSY
0010-00243 - FLOW SWITCH ASSY
0010-00251 - LOWER GROUND STRAP ASSEMBLY
0010-00253 - LINKAGE ASSY (INDEXER DOOR)
0010-00261 - ASSY CAPACITANCE MANOMTR
0010-00262 - DOOR CLOSURE MECHAN ASSY
0010-00263 - VIEW PORT PANEL ASSEMBLY
0010-00265 - BLADE ASSY  125MM
0010-00266 - BLADE ASSY  150MM
0010-00277 - LOAD VACUUM MANIFOLD ASSY
0010-00278 - PROCESS VACUUM MANIFOLD ASSY
0010-00281 - ASSY MIRROR REMOTE
0010-00283 - GENEVA DRIVE ASSY
0010-00284 - GENEVA WHEEL ASSY
0010-00285 - AC DISTR ASSY SYSTEM CONT.
0010-00288 - CARRIAGE ASSY END POINT
0010-00290 - PROCESS BASE PLATE ASSY
0010-00292 - LASER POWER SUPPLY ASSY
0010-00293 - PCB BRACKET ASSY
0010-00295 - ASSEMBLY  REMOTE CRT  AC POWERBOX & BOM
0010-00298 - RF MATCH ASSY *MSG*
0010-00299 - UPPER FRAME ASSY. BASIC & BOM
0010-00303 - GRIPPER ASSY  100MM
0010-00304 - GRIPPER ASSEMBLY  5"
0010-00305 - GRIPPER ASSEMBLY 150MM
0010-00309 - GATE VALVE ASSY
0010-00310 - GATE VALVE ASSY  OXIDE
0010-00311 - GAS MODULE ASSY-VCR
0010-00313 - CLIP ASSEMBLY  UPPER
0010-00314 - LOWER CLIP ASSY 100MM OX
0010-00316 - PIPING ASSY CHILL & LINE
0010-00323 - CRYO/HT EXCHR ASSY
0010-00326 - SHUTTLE DRIVE ASSY
0010-00330 - Pressure Switch
0010-00331 - PRESSURE SWITCH
0010-00332 - ASSY  PRESSURE SWITCH
0010-00333 - ASSY  FLATFINDER DRIVER
0010-00335 - FRONT PANEL ASSY CD CG 4HPX6U
0010-00336 - CLIP ASSY LOWER 150MM OX OR MACHINED 022
0010-00337 - CLIP ASSY UPPER 150MM OX OR MACHINED 022
0010-00338 - PEDESTAL ASSY 150 MM OXIDE LOWER
0010-00339 - PEDESTAL ASSY  150 MM OXIDE UPPER
0010-00343 - 4" AL/POLY PEDESTAL ASSY
0010-00344 - PEDESTAL ASSY  125MM AL
0010-00345 - PEDESTAL ASSY 150MM ALUM POLY ****
0010-00346 - PEDESTAL ASSY  100MM OX
0010-00347 - PEDESTAL ASSY 125MM OXIDE
0010-00348 - CLIP ASSY UPPER 125MM O
0010-00349 - CLIP  LOWER 125MM OXIDE
0010-00350 - CLIP DEPRESSOR ASSY
0010-00351 - GRIPPER ASSY  100MM OX
0010-00352 - TRAVEL PLATE ASSY EASE INDEXER  BASIC
0010-00353 - DOOR ASSY INDEXER  EASE
0010-00357 - FLATFINDER ASSY 150MM
0010-00359 - R.F. COUPLING ASSY.
0010-00360 - USE UP  THEN USE 0010-00809 NOT REPAIRBL
0010-00366 - GRIPPER ASSY 125MM OXIDE
0010-00367 - GRIPPER ASSY  150MM OX
0010-00368 - FLATFINDER ASSEMBLY  100MM STRETCH
0010-00369 - FLATFINDER ASSEMBLY  100MM
0010-00370 - FLATFINDER ASSEMBLY  125MM STRETCH
0010-00371 - FLATFINDER ASSEMBLY  125MM
0010-00373 - WRIST ASSY  200MM
0010-00375 - CARDCAGE SUB-ASSY  VME POWER SUPPLY
0010-00376 - CARDCAGE SUB-ASSEMBLY  SYSTEM ELECTRONIC
0010-00378 - CARDCAGE SUB-ASSEMBLY REMOTE AC
0010-00379 - TRAVEL PLATE  125MM ASSY  EASE
0010-00380 - TRAVEL PLATE 150MM  EASE
0010-00381 - BASIC INDEXER ASSY EASE
0010-00382 - 100MM TRAVEL PLATE  100MM  ASSY  EASE
0010-00383 - 125 MM INDEXER EASE
0010-00384 - 100 MM INDEXER ASSY
0010-00385 - INDEXER ASSY  EASE 150MM
0010-00386 - PEDESTAL SUBASSEMBLY 100MM WITH ELASTOME
0010-00387 - PEDESTAL SUBASSY W ELAS
0010-00388 - PEDESTAL SUB ASSEMBLY
0010-00389 - DOOR ASSY  MAIN FRAME EASE
0010-00392 - Loader Base Plate Assy
0010-00393 - HOSE ASSY  SOFT W/Q DISC
0010-00394 - HOSE ASSY 50FTW/Q DISC
0010-00395 - HOSE ASSEMBLY
0010-00396 - HOSE ASSY  20 FOOT
0010-00397 - DOOR MAINFRAME ASSEMBLY BASIC
0010-00398 - WAFER COUNT ASSY
0010-00399 - WIPER ASSY
0010-00401 - PENTODE ASSY POLY FOAM PKG REQ'D
0010-00402 - PENTODE ASSY  OXIDE
0010-00403 - ASSY GENEVA DRI 10 SLOT
0010-00404 - ASSEMBLLY  GENEVA WHEEL 10 SLOT
0010-00405 - SHUTTLE DRIVE ASSY 2
0010-00409 - DEPRESSOR ASSY  200MM
0010-00410 - GRIPPER ASSY  200MM
0010-00411 - DEPRESSOR DRIVE ASSY
0010-00412 - END IDLER ASSEMBLY
0010-00413 - ROBOT ASSY  200MM
0010-00414 - MOTOR IDLER ASSY
0010-00415 - ROBOT CONTROL ASSY 200MM
0010-00416 - WAFER COUNTER EMIT ASSY
0010-00417 - 200 MM SHUTTLE
0010-00423 - LEADSCREW ASSY
0010-00426 - LEVER BOTTOM  ASSY
0010-00430 - RACK  SUPPORTING  ASSY
0010-00433 - END EFFECTOR ASSY 200MM
0010-00434 - PROCESS VAC MANIFOLD ASY
0010-00437 - BELL JAR ASSY OXIDE
0010-00438 - BELL JAR ASSY AL/POLY
0010-00441 - LOAD CHAMBER ASSY 200MM
0010-00442 - LOADER BASE PLATE ASSY  BASIC
0010-00443 - Z-AXIS ASSY 200MM
0010-00446 - GAS MODULE ASSY  VCO
0010-00447 - GAS MODULE ASSY VCR
0010-00449 - DOOR ASSY MAIN FRAME
0010-00455 -
0010-00457 - LATCH RIGHT  ASSY
0010-00460 - R.F. MATCH ASSY 200MM
0010-00462 - F/A INDEXER ASSY  200MM
0010-00466 - COVER  BOTTOM ROBOT DRIVE ASSY
0010-00467 - CLIP ASSY 200MM
0010-00468 - PEDESTAL ASSY 200MM ALUM
0010-00481 - PEDESTAL ASSY  200MM OX
0010-00482 - AC OUTLETS ENCLOSURE
0010-00501 - MODIFIED CHILL IN LINE PIPING ASSEMBLY
0010-00502 - MODIFIED CHILL OUT LINE PIPING ASSEMBLY
0010-00506 -
0010-00507 - SUBASSEMBLY  REMOTE PUMP
0010-00508 - REMOTE PUMP SYSTEM  D-30
0010-00509 - ENDPOINT DETECTOR ASSY MESG:
0010-00510 - BEARING ASSY
0010-00515 - PEDESTAL ASSY 200MM
0010-00518 - ASSY  TURBO PUMP
0010-00523 - ROBOT SHIPPING ASSEMBLY
0010-00524 - ASSY  GAS PANEL BASIC ETCH MAIN
0010-00525 - ACTUATOR ASSY
0010-00526 - SHUTTLE ASSY  NON-EASE (8300)
0010-00527 - SHUTTLE ASSY  EASE (8300A)
0010-00529 - REMOTE PUMP SYSTEM  D-60
0010-00532 - OVERLOAD RELAY ASSY
0010-00534 - ASSY HINGE POS A&D
0010-00535 - ASSY HINGE POS B&C
0010-00537 - STAGE ASSY
0010-00538 - COLLIMNATED LASER/ADAPTE ASSY
0010-00539 - ASSY  REMOTE GAS PANEL BASIC
0010-00546 - VIEWPORT ASSY
0010-00547 - FRONT PANEL ASSEMBLY  EASE/NON-EASE
0010-00548 - RF Match
0010-00550 - FRAME ASSY  OPTIONAL PUMP
0010-00551 - REMOTE AC ASSY  BASIC
0010-00553 - REM AC ASSY  STD PUMPS
0010-00557 - SUBASSY HEAT EXCHANGER
0010-00559 - OPTIONAL PUMP SYSTEM 50/60HZ
0010-00561 - EMISSION DETECTOR
0010-00562 - BLADE ASSY  100MM
0010-00563 - 24V POWER SUPPLY
0010-00564 - WAFER COUNTER  LEFT ASSY
0010-00565 - WAFER COUNTER RIGHT ASSY
0010-00566 - HOSE ASSY  20"
0010-00570 - HEAT EXCHANGER OXIDE
0010-00570/B - NESLAB HEAT EXCHANGER
0010-00571 - FEEDTHRU ASSY
0010-00572 - COVER ASSY OXIDE PENTODE 200MM
0010-00576 - LENS HOLDER ASY ORIENTER
0010-00578 - ORIENTER ASSY BASIC
0010-00583 - OBS ASSY  20 SLOT VME
0010-00588 - OPTICS TUBE ASY STRAIGHT
0010-00591 - FLATFINDER ASSY  150MM
0010-00592 - INTERCONNECT BOX  ASSY
0010-00650 - ASSY I.O. ELECT.PANEL 5K PC EMISSION E.P
0010-00651 - ASSY MOUNT FIBER OPTICS
0010-00653 - ASSY CABLE/BRACKET RECIPE COLLECTION
0010-00654 - ASSY CABLE/BRACKET CHAMBER B
0010-00655 - ASSY CABLE/BRACKET CHAMBER C
0010-00656 - ASSY CABLE BRACKET CHAMBER D
0010-00657 - ASSY  ETCH/CVD TRANSPORT CART
0010-00660 - HEAT EXCHANGER II  ASSY
0010-00663 - PED ASSY  GAS COOLED 150MM OXIDE *
0010-00669 - PEDESTAL ASSY GAS COOLED 125MM OXIDE
0010-00670 - INDEPT. HE PRESSURE CONTROL ASSY
0010-00673 - PED ASSY GAS COOLED  150MM OX (TOP)
0010-00674 - PED ASSY GAS COOLED 150MM OXIDE (CENTER)
0010-00675 - ASSY UPPER REAR PANEL (MOLDED)
0010-00676 - ASSY SIDE PANEL UPPER RIGHT MOLDED
0010-00677 - ASSY SIDE PANEL UPPER LEFT MOLDED
0010-00678 - ASSY COVER BASE PLATE  LEFT
0010-00679 - ASSY PANEL SIDE LOWER
0010-00680 - ASSY COVER  BASE PLATE  RIGHT
0010-00681 - ASSY PANEL LOWER REAR MOLDED
0010-00682 - ASSY  SCREEN  TURBO PORT
0010-00683 - ASSY TURBO PUMP TMP54OZ
0010-00685 - ACTUATOR ASSY STD CATHODE
0010-00687 - ASSY TUBE CLAMP
0010-00688 -
0010-00689 - ASSY PKGD GAS/METAL TUBE
0010-00700 - BARATRON INSTALLATION  VIEWPORT ASSY
0010-00701 - ASSY  HE PRESSURE CONTROL
0010-00703 - QUICK DISCONNECT  TURBO PUMP
0010-00704 - CLAMP RING XTAL 4 ASSY
0010-00705 - CLAMP RING  XTAL 5 ASSY
0010-00706 - CLAMP RING  XTAL  6 ASSY
0010-00710 -
0010-00713 - PEDESTAL ASSY 150MM
0010-00714 - PEDESTAL ASSY 125MM REPLC:0020-04258
0010-00715 - PEDESTAL ASSY 100MM SEE
0010-00716 - RING ASSY PEDESTAL 150MM MOLDED
0010-00717 - RING ASSY PEDESTAL 125MM MOLDED
0010-00718 - RING ASSY PEDESTAL 100MM MOLDED
0010-00719 - ASSEMBLY  PUMP/FRAME
0010-00720 -
0010-00721 - GLASS/METAL TUBE ASSY PACKAGED
0010-00724 - RECIRCULATOR ASSY DUAL CHNL ITC
0010-00725 - CONTROLLER ASSY DUAL CHANNEL ITC
0010-00727 - CHILLER MOD ASSY ITC
0010-00728 - INTERFACE ASSEMBLY ITC
0010-00732 - ASSY  GAS TUBE WELDMENT
0010-00733 - EMO ASSY
0010-00735 - ASSY  MAIN PLATE
0010-00737 - ASSY  END POINT MODULE COVER
0010-00738 - ASSY  DISK DRIVE MODULE
0010-00739 - REPLACED BY 0010-10551
0010-00741 - ASSY END POINT MODULE DOOR
0010-00742 - KEYBOARD ASSEMBLY THRU THE WALL
0010-00744 - HE PRESSURE CONTROL (20SCCM) ASSY
0010-00745 - ASSY MONOCHROMATOR MODUL
0010-00746 - ASSY MONO CHROMAT?
0010-00749 -
0010-00750 - Bolt Down Cover Assy.
0010-00755 -
0010-00762 - ORIENTER ASSY 150MM
0010-00764 - BLADE ASSY  150MM
0010-00766 - BLADE ASSY  125MM
0010-00767 - SUPPLY ASSY  QDISC
0010-00768 - RETURN ASSY  QDISC
0010-00769 - BLADE ASSY  100MM
0010-00770 - WAFER COUNTER ASSY 200MM RIGHT
0010-00771 - WAFER COUNTER ASSY 200MM LEFT
0010-00772 - ASSY  MOUNTING PLATE  SHUTTLE DRIVE
0010-00776 - CLIP ASSY 200MM OXIDE
0010-00782 - ANTI-ARC 100MM 8130 TRAY ASSY
0010-00783 - ASSY  ENDPT INTERFACE/SMOOTHER
0010-00793 - WIPER ASSY
0010-00795 - CAPACITOR BANK ASSY  OX
0010-00796 - CHOKE ASY RF MICRO MATCH
0010-00797 - BIAS NETWORK ASSY RF MICRO MATCH
0010-00798 - CAPACITOR BANK ASSY  ALUM
0010-00799 - RF MATCH ASSY  ALUM SYS
0010-00800 - RF MATCH ASSY  OXIDE SYS
0010-00801 - LOWER CLIP ASSY W/ HALF SPRING  150MM
0010-00802 - UPPER CLIP ASSY  150MM OXIDE
0010-00803 - LOWER CLIP ASSY  150MM OXIDE
0010-00804 - UPPER CLIP ASSY 125MM OX
0010-00805 - LOWER CLIP ASSY 125MM OX
0010-00806 - CLIP ASSY  200 MM OXIDE
0010-00807 - LOWER CLIP ASSY W/HALF SPRING  150MM
0010-00808 - ASSY VACUUM MANIFOLD  SEIKO SEIKI
0010-00809 - ASSY  LEAD SCR  SS DEFLECTORS
0010-00810 - ASSY  LEAD SCREW  200 MM SS DEFLECTOR
0010-00812 - ASSY  FLATFINDER  150 MM  LOWER DURO  OF
0010-00813 - ASSY  FLATFINDER  150 MM STRETCH  LOWER
0010-00814 - GRIPPER  150MM OX-MOD. FINGER
0010-00816 - PROCESS VACUUM MANIFOLD ASSY  8330A
0010-00817 - ASSY  ENDPT SMOOTHER II
0010-00818 - REMOTE AC ASSY UNIVERSAL REMOTE INTFCE
0010-00819 - REMOTE FRAME ASSY NO PUMPS
0010-00820 - VME-QUALIDYNE POWER SUPPLY ASSY
0010-00821 - THROTTLE VALVE ASSY
0010-00822 - UPPER CLIP ASSY  100MM OXIDE
0010-00823 - LOWER CLIP ASSY  100MM OXIDE
0010-00824 - UPPER CLIP ASSY  125MM OXIDE
0010-00825 - LOWER CLIP ASSY  125MM OXIDE
0010-00826 - UPPER CLIP ASSY  150MM OXIDE
0010-00827 - LOWER CLIP ASSY  150MM OXIDE
0010-00828 - CLIP ASSY  200MM OXIDE
0010-00829 - LOWER CLIP ASSY  W/HALF SPR. 150MM
0010-00830 - POWER SUPPLY ASSY VME
0010-00831 - PEDESTAL  ASSY 100MM OXIDE
0010-00832 - PEDESTAL  ASSY 125MM OXIDE
0010-00833 - PEDESTAL  ASSY BOT  150MM OXIDE
0010-00834 - PEDESTAL  ASSY 150MM OXIDE
0010-00835 - PEDESTAL  ASSY MBC 125MM OXIDE
0010-00836 - PEDESTAL  ASSY BOT MBC 150MM OXIDE
0010-00837 - PEDESTAL  ASSY TOP MBC 150MM OXIDE
0010-00838 - PEDESTAL  ASSY CEN. MBC 150MM OXIDE
0010-00839 - PEDESTAL  ASSY 200MM OXIDE
0010-00840 - CLIP ASSY  200MM
0010-00841 - PEDESTAL ASSY 200MM
0010-00846 - PEDESTAL RING ASSY. 150MM AL. QUICK REL.
0010-00848 - 24V TRANSFORMER ASSY.  REMOTE AC CONTROL
0010-00849 - SENSOR HEAD ASSY CAPACITANCE MANOMETER
0010-00852 - ASSEMBLY H.O.T. ANALYZER CARTRIDGE 254NW
0010-00854 - R1 ?DPS+ ?POLY ?RF ?MATCH
0010-00855 - ASSY  RF BIAS MATCH  R0 POLY CHAMBER
0010-00856 - ASSY  AIR CAPACITOR
0010-00857 - ASSY  TUNE MOTOR
0010-00858 - ASSY  LOAD MOTOR
0010-00859 - ASSY  MIRROR HSG  HI-TEMP DOME WINDOW. I
0010-00860 - ASSEMBLY  H.O.T. ANALYZER CARTRIDGE  365
0010-00861 - ASSY  CERAMIC DOME  KEYED  HR DTCU  DEEP
0010-00865 - BAKEOUT LAMP CLAMP ASSEMBLY
0010-00867 - PACKAGING ASSY  TXZ HEATER PACK  INNER FOAM
0010-00868 - HOSE ASSY  BE AERO  WALL SUP/RET 50 FT.
0010-00869 - HOSE ASSY  BE AERO  WALL SUP/RET 75 FT.
0010-00870 - HOSE ASSY BE AERO  LOW TEMP SUP/RET 50 F
0010-00871 - HOSE ASSY  BE AERO  LOW TEMP SUP/RET 75
0010-00877 - DPS R1  STD  DTCU  ASSY
0010-00878 - SPRING COIL ASSY  SOURCE  DTCU  DPS
0010-00888 - GAS MODULE INERT/PURGE
0010-00889 - ASSY LIFTER DEGAS/ORIENTER W/GIMBAL W/TE
0010-00891 - ASSY BASIC DEGAS/ORIENTER W/GIMBAL CHAMBER
0010-00900 - ASSEMBLY  TAB GROUNDING BUTTON SUPPORT W
0010-00901 - ..A
0010-00918 - ASSY  HEAT EXCHANGER  NON-NEUTRAL SYS
0010-00919 -
0010-00927 - ASSY ADPT FEEDTHR KIT REVE 4.4 AND 4.5 NTRN RF NTS
0010-00936 - ASSY  LOWER DTCU  DPS E-DTCU
0010-00938 - ASSY ESC/RF 200MM FLAT DUAL ZONE EMXP+
0010-00948 - TEMPMATCH BB TOOL ASSY RTP
0010-00951 - LOW TEMP BESC 8" HEATER ASSY?
0010-00952 - ASSY  LID ASP+ W/SILICONE ORING
0010-00956 - ASSY  SIMPLIFIED APPLICATOR  ASP+
0010-00957 - ASSY  ORIENTER LASER OPTICS
0010-00958 - ASSY  ORIENTER WITH HINGE LASER OPTICS
0010-00963 - ASSY CERAMIC HTR NGK RF GND 8" GIGA-FILL
0010-00964 - ASSY CERAMIC HTR NGK RF GND 6" GIGA-FILL
0010-00966 - ASSEMBLY BASE LIFT REACTIVE PRECLEAN
0010-00967 - ASSY HTD ROUGH LN M-DPS SS1303C CONVERSI
0010-00968 - ASSY HTD INTEG FRLN M-DPS SS1303C CONVER
0010-00969 - DRIVE ASSY  HARD DRIVE 2.1GB WITH CMP
0010-00974 - DPS  AIR CYLINDER  WAFER LIFT ASSY
0010-00989 - SENSOR ASSY  FWI - QUANTUM
0010-009935 - Monochromator
0010-00995 - ASSY.  CARDCAGE  CONTROLLER
0010-00996 - ASSY  MCE 208VAC DISTRIBUTION DPS CHAMBE
0010-01000 - ASSY SPRT TRAY - MLD OVERLAY
0010-01001 - XFMR ASSY 200/208 VAC
0010-01002 - MULTI-TAP TRANSFRMR ASSY
0010-01004 - LINE GAS FEED ASSY
0010-01007 - TRANSFER MECHANISM 6IN WAFER
0010-01008 - RACK TRAY ASSY 6IN WAFER
0010-01009 - SELF-ALIGNING TOP DISC
0010-01010 - SELF-ALIGNING TOP DISC
0010-01011 - SELF-ALIGNING TOP DISC
0010-01012 - MNL LDT ASSY  6"  MSG: MUST BE CRATED
0010-01013 - WAFER TRAY ASSY
0010-01014 - PEDESTAL ASSY 5" 8115 RPLC 01-82391-00
0010-01015 - ENLARGED HEXODE ASSY
0010-01016 - ELECTRODE STANDOFF ASSY
0010-01018 - TOP DISC ASSY  OXIDE
0010-01019 - WAFER TRAY ASSY 6" 8115
0010-01021 - PEDESTAL ASSY  6" 8115
0010-01022 - UPPER CAP ASSY. OXIDE
0010-01026 - WAFER TRAY ASSEMBLY  6"
0010-01027 - PEDESTAL ASSY  6" 8135
0010-01028 - ALUM TRAY ASSY 5" ENLG
0010-01029 - UPPER CAP ASSY  ALUM
0010-01032 - ASSY  PREFIRE P/S ASP+
0010-01034 - CLIP ACT ASSY - QUANTUM
0010-01035 - COVER PLATE ASSY
0010-01037 - ASSY OVEN POSN 2 ANTIMY LEAP2
0010-01039 - BELL JAR ASSY OXIDE ENLGD HEX
0010-01040 - BELL JAR ASSY GENERIC
0010-01041 - DOOR ASSY LOADER SIDE ENLGD
0010-01043 - PUL TIME BELT 3/8 PITCH ALUM 1/2 WIDHT
0010-01045 - WAFER TRAY ASSY  6" 8125
0010-01046 - TOP DISC ASSY  POLY
0010-01047 - PEDESTAL ASSY  6"  8125
0010-01051 - SLD ASSY A B D FSG-2 SIH4 SIDE DEP ODD O
0010-01053 - POWER BOX ASSY-DUAL PUMP
0010-01055 - PUMP SYS ASSY-DUAL PUMP
0010-01058 - CRT STAND ASSY-SECS II
0010-01060 - PNEUMATIC HOIST ASSY
0010-01061 - GAS DIST ASSY 5&6" AL
0010-01062 - GAS DIST ASSY  5" AL
0010-01063 - GAS DIST ASSY  3&4" AL
0010-01064 - BELL JAR ASSY STNDRD-ENLRGD ALUM 8130
0010-01065 - PEDESTAL ASSY 6" 8130
0010-01066 - PEDESTAL ASSY 5" 8130
0010-01067 - PEDESTAL ASSY 4"
0010-01068 - PEDESTAL ASSY  3" 8130
0010-01069 - TRAY SUPPORT ASSY  5-6"
0010-01070 - 5" TRAY SUPPORT ASSY
0010-01073 - PNEUMATIC ASSY  AUTOLOADER
0010-01074 - ASSY PEDESTAL FLAT 150MM
0010-01075 - UPPER CAP ASSY (STD AL)
0010-01082 - P1
0010-01083 - ASSY  HOIST  DTCU LIFT  DPS R1  GENERIC
0010-01087 - ASSY  SPECIAL CASSETTE HANDLER (L.L.A.)
0010-01092 - OBS  ROTATION  ASSY INSTALLATION
0010-01098 - Reflector
0010-01100 - ASSEMBLY  SOURCE LOCKING PIN
0010-01119 - ASSY  CATHODE  200MM FLAT CESC  IS  5200
0010-01124 - HEATER ASSY  DUAL ZONE
0010-01134 - APPLICATOR ASSY HDPCVD ULTIMA
0010-01135 - ASSY 50FT HEAT EXCHANGER HOSE
0010-01136 - ASSY 75FT HEAT EXCHANGER HOSE
0010-01137 - ASSY 100FT HEAT EXCHANGER HOSE
0010-01151 - ASSY GFI IM/2M CHAMBER
0010-01152 - ASSY AIR CYLINDER
0010-01164 - REFLECT PLATE ASSY  BPSG  150MM XE CH. R
0010-01165 - REFLECT PLATE ASSY  BPSG 200MM XE CH.
0010-01167 - HEATER ASSY  LOW TEMP MCA+ 8" SNNF ESC
0010-01168 - HEATER ASSY WELD  8" SNNF  LT MCA+
0010-01171 - LID ASSY CLAMP CHEMRAZ SLIT INNER DOOR
0010-01174 - ASSY  SYS AC OUTLET BOX  CVCF I/F
0010-01183 - ASSY  FILTER BOX  HT CATHODE  DPS
0010-01185 - LIFT ASSY  LIMITED EXTENSION
0010-01191 - ASSEMBLY  AIR FLOW  MMF  PRES
0010-01193 - ASSY  HOSE WATER B101 HTR RUBBER
0010-01194 - ASSY  HOSE WATER B101 HTR TEFLON
0010-01195 - ASSEMBLY FAN MODULE  5MF CAP  DTCU DPS
0010-01196 - HEATER ASSY  8" JMF LT BESC
0010-01198 - G3 Magnets Assembly  200mm
0010-01202 - ASSY  ROBOT VHP+ FIXED WING DIRECT DRIVE
0010-01204 - ASSY  WIC LASER SENSOR: LLA A C E; HP+ &
0010-01206 - ASSY  WIC LASER SENSOR  CENTURA HP & VHP
0010-01207 -
0010-01209 - ASSY 6" WAFER ORIENTER W/GIMBAL WXZ
0010-01210 - ASSY 8" WAFER ORIENTER W/GIMBAL WXZ
0010-01211 - 5" P5000 WAFER ORIENTER W/GIMBAL
0010-01216 - ASSY  CONDUIT CHAMBER TRAY
0010-01219 - UPPER CHAMBER ASSEMBLY  ULTIMA HDP-CVD
0010-01220 - .AO
0010-01229 - ASSY  AMPOULE LIFTER FOR TXZ/AXZ
0010-01230 - ASSEMBLY  SOURCE SIP TTN
0010-01231 - 003
0010-01233 - ASSEMBLY  DNET DISTRIBUTION
0010-01234 - AC BOX  AMT-1 W/NEUTRAL
0010-01235 -
0010-01240 - MW SUPPORT ASSY. CH B C D ULTIMA/GIGAFIL
0010-01241 - MW SUPPORT ASSY. CH A ULTIMA/GIGAFILL/DL
0010-01242 - KIT  CVD TIN REGULATOR BOX
0010-01245 - LEVELING FIXTURE
0010-01246 - VME2 ASSY  CONTROL  MCE
0010-01251 - ASSY  CHAMBER TICL4 TIN (2M)
0010-01252 - ASSEMBLY  LID  TICL4 TIN
0010-01256 - B
0010-01257 - B
0010-01268 - ASSY  SLIT VALVE ULTIMA HDP-CVD LL A/B
0010-01269 - A
0010-01276 - TEMP  CONTROLLER SWITCH ASSY  MCVD GPLIS
0010-01280 - ASSY WIDE BODY LOAD LOCK DOOR COVER
0010-01281 - ASSY  PULLY SRD  ECP
0010-01286 - 8" SNNF MCA E-CHUCK  NI PLATED
0010-01288 - W8" JMF MCA E-CHUCK  NI PLATED
0010-01294 - .AO
0010-01296 - ASSEMBLY PVD LIFTER ORIENTER GIMBAL
0010-01297 - P1
0010-01300 - ASSY  UNIVERSAL DOME  E-DTCU DPS
0010-01307 -
0010-01310 - ASSEMBLY  HINGE TICL4 TIN
0010-01315 - SHIELD ASSY  OU  8" ELECTRA IMP CU LT BE
0010-01316 - ASSEMBLY  ADAPTER/FEEDTHRU KIT  8" ELECT
0010-01318 - SHIELD ASSEMBLY  OU  8" ELECTRA IMP CU B
0010-01320 - ASSEMBLY  HEATER LIFT  TICI4 TIN
0010-01321Rev.2 - DI/O BOARD Rev 2
0010-01321Rev.3 - DI/O BOARD Rev 3
0010-01321Rev.4 - DI/O BOARD Rev 4
0010-01322 - Door  Slit
0010-01323 - .BO
0010-01328 - ASSY ARM MF ROBOT 200MM ECP
0010-01329 - CHMBR A SEIPLEX ASSEMBLY
0010-01330 - P1
0010-01331 - CHC SERIPLEX CONTROL BOX WITH CARD?
0010-01332 - CHMBR D SERIPLEX ASSEMBLY
0010-01334 - ASSY  TOOL  LID  UWAVE  WXZ
0010-01339 - ASSY  UPPER VACUUM RINGS VHP+ FIXED WING
0010-01340 - ASSY  LOWER VACUUM RINGS VHP+ FIXED WING
0010-01348 - CARDCAGE ASSY  CH C/D SERIPLEX ULTIMA
0010-01349 - CARDCAGE ASSY  CH A/B SERIPLEX ULTIMA
0010-01360 - SLTVLV ACTUATOR NON-ENPCORROSION RESIS
0010-01361 - CORROSION RESISTANT SLIT VALVE DOOR W/ V
0010-01362 - A
0010-01363 - CORROSION RESISTANT LL SLT VLV ACTR W/ D
0010-01364 - A
0010-01365 - CORROSION RESISTANT SLT VLV ACTUATOR NON
0010-01367 - ASSY.  PUMPING PLATE  ENH COOLING 200MM
0010-01368 - COVER  LID PLTE ASSY  SACVD  DXZ  FLUORI
0010-01369 - THROTTLE VALVE DRIVE ASSY  ULTIMA HDPCVD
0010-01371 - ASSY  BASIC ORIENTER W/GIMBAL W/OCR
0010-01372 - ASSY  8" ORIENTER W/GIMBAL W/OCR
0010-01373 - P1
0010-01374 - 001
0010-01385 - CVR LID PLTE ASSY  PECVD  DXZ  FLUORINE
0010-01388 - LTESC CONTROL BOX ASSY
0010-01389 - LTESC SYS CONTROLLER ASSY
0010-01390 - HEATER ASSY  8" JMF SR  AXZ PLUS
0010-01393 - SUSCEPTOR ASSEMBLY  BB TEOS  200MM
0010-01394 - SUSCEPTOR ASSEMBLY  TEOS  200MM
0010-01395 - ASSY  15" REMOTE MONITOR
0010-01397 - ASSY  ENDPT DETECTOR / PLASMA CELL
0010-01401 - ASSY  BODY PROBE PLUG  2MM
0010-01402 - ASSY  CERAMIC DOME  KEYED  IEP  HR DTCU
0010-01403 - ASSY  CERAMIC DOME  KEYED  W/O IEP  HR D
0010-01408 - Cover  Front Door
0010-01412 - ACTURATOR ASSY-SLIT LINER DOOR
0010-01413 - ASSY HUB RADIANCE CHAMBER RTP
0010-01414 - ASSY  CONNECTORS BRACKET SRD  ECP
0010-01416 - P2
0010-01417 - P2
0010-01418 - OUTSOURCED ASSY  HEATER LIFT  PRODUCER
0010-01419 - LONG LEVELING FOOT ENDURA
0010-01420 - SHORT LEVELING FOOT ENDURA
0010-01421 - MICROWAVE CLEAN ASSEMBLY  PRODUCER
0010-01422 - WAVEGUIDE W/ISOLATOR ASSEMBLY  MW CLEAN
0010-01442 - ASSY. UWAVE ENDPOINT DETECTOR
0010-01443 - ASSY  ENDPT RF GENERATOR
0010-01444 - OUTSOURCED ASSY CONTROL RACK PRODUCER
0010-01449 - ASSEMBLY ADAPTER  SIP TTN 200MM
0010-01450 - ASSEMBLY  VIEW LID TICL4 TIN
0010-01456 - 6" SMF/JMF MCA E-CHUCK  NI PLATED
0010-01461 - ASSY  PNEU PRESSURE VALVE  SLIT VALVE
0010-01463 - ASSY  FLOW MONITORING CHMBR A/C/E
0010-01464 - ASSY  FLOW MONITORING CHAMBR B/D/F
0010-01465 - D
0010-01470 - ASSEMBLY  DIFF PRESS SW (CSI) W/ MYLAR F
0010-01471 - C
0010-01473 -
0010-01474 - R2-ESC  150 SIMCAT  50/XT  A/D POS  10RA
0010-01475 -
0010-01476 -
0010-01477 -
0010-01478 -
0010-01479 - R2-ESC  150 SIMCAT  50/XT  A/D POS  5RA
0010-01480 -
0010-01481 -
0010-01482 - R2-ESC  150 SIMCAT  50/XT  B/C POS  10RA
0010-01483 -
0010-01484 -
0010-01485 -
0010-01486 -
0010-01487 - R2-ESC  150 SIMCAT  50/XT  B/C POS  5RA
0010-01488 -
0010-01489 -
0010-01490 -
0010-01491 -
0010-01492 -
0010-01493 -
0010-01494 -
0010-01495 -
0010-01496 -
0010-01497 - 003
0010-01498 - HEATER ASSY  6" SMF/JMF HTESC  NI PLATED
0010-01499 - A
0010-01500 - ASSY. DOOR COVERS LLK MIRROR SST NB ENHA
0010-01501 - HEATER ASSY  8" SNNF HTESC  NI PLATED
0010-01502 - HEATER ASSY  WELD  8" SNNF HTESC  NI PLATED
0010-01503 - HEATER ASSY  8" JMF HTESC  NI PLATED
0010-01504 - HEATER ASSY  WELD  8" JMF HTESC  NI PLATED
0010-01506 - RETURN ASSY  AMAT 1 HEX
0010-01507 - DPS CATHODE 200MM  CERAMIC
0010-01517 - 200MM CENTURA II PRIMARY
0010-01520 - ASSY MCE SEC. AC RACK  CH. B-D
0010-01521 - SUSCEPTOR 8"
0010-01528 - ASSY CATHODE 200MM SNNF ULTIMA HDPCVD
0010-01529 - ASSY CATHODE 200MM SNNF W/WTM ULTIMA HDP
0010-01530 - UPPER CHAMBER ASSEMBLY ULTIMA HDP-CVD
0010-01541 - ASSY  CLAMP WITH FLAG  SRD
0010-01546 - HEATER LIFT ASSY  PRODUCER
0010-01548 - ASSY.IHC 649 MCE
0010-01549 - ASSY POWER SUPPLY SERIPLEX SOURCE MATCH
0010-01550 - HEATED FORELINE SUB-ASSY  MCE
0010-01557 - ASSY  ASYST SMIF  W/B LL  MIRROR SST
0010-01559 - ASSEMBLY  GIGACAP MLDS
0010-01561 - DI SENSOR  VESPEL/SST  HEAT EXCHNAGER  A
0010-01562 - ASSY  LOWER DTCU  DPS E-DTCU
0010-01572 - TRAY ASSY  ISOLATION TRANSFORMER  PRODUC
0010-01580 - ASSY PNEUMATIC VME2 CONT MCE INSIDE
0010-01582 - ASSY VDS 750 SCCM UC MCE
0010-01588 - BASIC WIDE BODY INDEXER ASSY WITH RIGID
0010-01589 - P1
0010-01590 - P1
0010-01591 - BASIC INDEXER ASSY NON-ENP WITH RIGID CO
0010-01595 - ASSY. PHASE II HARNESS ASSMBLY VHP &
0010-01602 - ASSY  P7 CERAMIC DOME  KEYED HR DTCU W/O
0010-01621 - ELECTRICAL ASSY  CAP/BLOCK  DPS E-DTCU
0010-01647 - FORELINE ASSY W/ISO & THR VALVE  MKS
0010-01648 - CLEAR LID ASSY  200MM PRODUCER
0010-01649 - CLEAR LID ASSY  300MM PRODUCER
0010-01651 - THROTTLE VALVE BODY ASSY  200MM SACVD PR
0010-01652 - THROTTLE VALVE ASSY  200MM SACVD PRODUCE
0010-01653 - ISO VALVE ASSY  200MM SACVD PRODUCER
0010-01654 - HINGE ASSY  SINERGY
0010-01657 - ASSY  INTRLK SW  UPPER DTCU  DPS E-DTCU
0010-01658 - ASSY  I/O BOX  DPS E-DTCU
0010-01659 - ASSY  AIR REGULATOR  MDPS  EDTCU
0010-01662 - ASSY  MCE SECONDARY GEN RACK
0010-01663 - ASSY  MDP SLIT VALVE ACTUATOR  LCF-COMPA
0010-01671 - ASP+ 5RA PROC LN #3 ASSY POS C MCE
0010-01672 - ASP+ 5RA PROC LN #3 ASSY POS D MCE
0010-01676 - SUSCEPTOR ASSY TEOS 200MM SCREWLESS IMPR
0010-01678 -
0010-01679 -
0010-01686 - ASSY 8" HI-TEMP HTR PRTCL RDCTN HSG W/WE
0010-01689 - HEATER LIFT ASSY GIGA-FILL SACVD
0010-01691 - SAL LID ASEMBLY TXZ
0010-01703 - NODWG-ASSY SLIDING DOOR INTERLOCK SWITCH
0010-01704 - ESC ASSY CERAMIC JMF 200MM DPS+
0010-01711 - ASSY  HP  UPGRADE ROBOT  ENDURA
0010-01712 - ASSY  OUTER MAGNETS AND TUBE  HP ROBOT
0010-01713 - ASSY  CATHODE  200MM FLAT CESC  IS  5000
0010-01714 - ASSY  CATHODE  200MM FLAT CESC  IS  5000
0010-01715 -
0010-01716 -
0010-01723 - ESC/RF ASSY  150MM FLAT  DUAL ZONE  SUPE
0010-01724 - A
0010-01764 -
0010-01765 -
0010-01767 - REPLACED BY 0010-13261
0010-01801 - ASSY CHAMBER PNEUM. DLK/ULTIMA HDP-CVD
0010-01811 - BEARING ASSEMBLY  MSCD
0010-01829 - IHC ASSY  DUAL ZONE  1 CHAMBER
0010-01830 - IHC ASSY  DUAL ZONE  2 CHAMBER
0010-01831 - ASSY TC AMP LOW TEMP BESC
0010-01839 - ASSY WAFER SENSOR CENTURA HP & VHP
0010-01844 - ASSEMBLY WAFER-PIN LIFT TXZ 300MM
0010-01845 - ASSY  HV/DC FILTER BIASED ELECTRODE BESC
0010-01849 - ASSY  MOTOR LIFT
0010-01864 - 001
0010-01865 - 001
0010-01881 - ASSY STAND ALONE MONITOR BASE W/PUSH BUT
0010-01885 - ASSY  CERAMIC DOME  ES DTCU  V-ELECTRODE
0010-01886 - ASSY  CERAMIC DOME  ES DTCU  V-ELECTRODE
0010-01887 - ASSY  V-ELECTRODE  DOME WITH IEP  POLY D
0010-01888 - ASSY  V-ELECTRODE  DOME W/O IEP  POLY DP
0010-01890 - ASSY  RF FEEDTHRU  ES DTCU  DPS CHAMBER
0010-01893 -
0010-01895 -
0010-01904 - ASSY  INTERLOCK  UPPER  ES DT
0010-01908 - ASSY  GND SOCKET  AE MATCH  POLY DPS CHA
0010-01917 - GROUND SHIELD ASSEMBLY  ULTIMA  HDP-CVD
0010-01918 - GND SHLD GRAPHITE ASSEMBLY  ULTIMA HDP-
0010-01922 - ASSY  BIASED ELECTRODE AC BOX
0010-01925 - HEATER ASSY  8" SNNF BIASED ELECTRODE BE
0010-01926 - HEATER ASSY  8" JMF BIASED ELECTRODE BES
0010-01927 - HEATER ASSY  WELD 8" SNNF BESC BIASED EL
0010-01928 - HEATER ASSY  WELD 8" JMF BESC BIASED ELECTRODE
0010-01929 - ASSY  RF MATCH  BIASED ELECTRODE BESC
0010-01930 - ASSY  CATHODE LINER  MAGNETIC  SUPER-E
0010-01933 - ASSY HEATER  8"  HA12 LONG SHAFT COMMON
0010-01935 - A
0010-01936 - A
0010-01943 - FAST LIFT PIN ASSY 200MM RADIANCE
0010-01944 - LAMP HEAD ASSY 200MM RADIANCE
0010-01975 - ASSY  SOURCE CONDITIONING BOX
0010-01977 - CATHODE LINER ASSEMBLY
0010-01989 - ASSY HEATED CHAMBER FEEDTHRU HIGH K CVD
0010-01994 -
0010-01995 - ASSY T.V.  NSK ACTUATOR 300MM DPS-POLY (
0010-01996 - ASSY VHP RETROFIT HOIST
0010-02000 - UPPER CHAMBER ASSEMBLY ULTIMA HDP-CVD
0010-02001 - LOWER CHAMBER ASSEMBLY ULTIMA HDP-CVD
0010-02010 - +P3
0010-02013 - +P3
0010-02017 - MANIFOLD ASSY  D.I. RETURN  B.E. AEROSPA
0010-02018 - MANIFOLD ASSY  D.I. SUPPLY B.E. AEROSPAC
0010-02027 - ASSY  WAFER LIFT  ASP+  CENTURA II
0010-02029 - ASSY  HTD ROUGH LINE DPS  SEIKO 1000
0010-02030 - ASSY  HTD TEE RDCR  SEIKO 1000
0010-02049 - APPLICATOR ASSY NC 2WAY
0010-02050 - APPLICATOR ASSY CO 3WAY
0010-02051 - APPLICATOR FLOW SWITCH ASSY HDP
0010-02055 - OZONATOR ASSY?
0010-02056 - SLIT VALVE DOORS?
0010-02063 - ASSY. 8" TIGHT POCKET BLADE & HP PLUS WRIST
0010-02070 - VALVE ASSY  CLUSTER ISO\THR  PECVD 300MM
0010-02077 - ASSY  SLIT VALVE DOOR  EMAX 200MM
0010-02081 - CATHODE ASSY 150 MM FLAT CESE IS 5000/XT
0010-02082 -
0010-02083 - CATHODE ASSY  150MM FLAT CESC  IS  5200
0010-02084 - CAPACITOR ASSY  RF & HV CENTER FEED  CES
0010-02087 - ASSY  CERAMIC HEATER  SA 200MM PRODUCER
0010-02093 - DOOR ASSY CORR.RESIST. SLT VLV W/CHEMRAZ
0010-02111 - DOOR ASSY CORR.RESIST. SLT VLV W/CHEMRAZ
0010-02112 - DOOR ASSY CORROSION RESIST. SLT VLV W/KA
0010-02113 - ASSY  LT BESC RF MATCH
0010-02115 - OUTER BI-FOLD DOOR ASSY CENTURA
0010-02124 - MODULE MFG - AMT  8" ENHANCED DEGAS  8" ENHANCED ORIENTER DEGAS 350C
0010-02136 - P1
0010-02137 - P1
0010-02138 - P1
0010-02139 - P1
0010-02140 - ASSEMBLY  LASER OPTICS ORIENTER HINGE LI
0010-02141 - ASSEMBLY  ORIENTER HINGE LID WITH LASER
0010-02142 - THROTTLE VALVE ASSY  DIRECT DRIVE SIGMA
0010-02143 - THROTTLE BODY ASSY  SIGMA PLUG
0010-02144 - THROTTLE DRIVE ASSY  DIRECT DRIVE SIGMA
0010-02146 - APPLICATOR ASSY HDPCVD ULTIMA
0010-02149 - ASSEMBLY MAINFRAME AC BOX CENTURA
0010-02154 - HEATER HOSE ASSEMBLY 96"  SST
0010-02155 - HEATER HOSE ASSY 96"  SST-SEAL-LOK
0010-02159 - HOSE ASSY  NESLAB SUPPLY AND RETURN
0010-02160 -
0010-02163 - APPLICATOR FLOW SWITCH ASSY HDP
0010-02170 - ASSY  LEFT HAND  PIVOT AND BEARING HP+/V
0010-02171 - ASSY  RIGHT HAND PIVOT AND BEARING  HP+/
0010-02172 - ASSY  LEFT HAND PIVOT AND BEARING HP+/VH
0010-02173 - ASSY  RIGHT HAND PIVOT AND BEARING HP+/V
0010-02176 - ASSY  LH  PIVOT AND BEARING  300MM ROBOT
0010-02177 - ASSY  RH  PIVOT AND BEARING  300MM ROBOT
0010-02195 - ASSY 300MM DDR  NCR  SINGLE BLADE
0010-02196 - ASSY STANDARD REACH DUAL BLADE ROBOT 300
0010-02197 - ASSY 300MM CORROSION RESISTANT  DUAL BLA
0010-02198 - ASSY 300MM CORROSION RESISTANT SINGLE BL
0010-02199 - ASSY DIRECT DRIVE ROBOT ROTATION NCR
0010-02200 - ASSEMBLY  DIRECT DRIVE ROBOT ROTATION  S
0010-02203 - IPS UPPER CHAMBER ASSY  IMPROVED AC  W/O
0010-02204 - IPS LWR CHM W/O PMP&THROTTLE VLV 250MM P
0010-02205 - ASSY  200MM ENH AUTO HNDLR  KA200-82MSEC
0010-02206 - ASSY  200MM ENH AUTO HNDLR  KA200-82MSEC
0010-02212 - LID HOIST 250  ENDURA E
0010-02213 - A
0010-02214 - ASSY  ENCLOSURE GAS BOX
0010-02220 - ASSEMBLY CDA REGULATORS
0010-02221 - PVD MAGNET
0010-02226 - CHAMBER ELECTRONIC CONTROL BOX  300MM
0010-02228 - ASSY  N2 BLOWOUT VENT W/SILENCER
0010-02229 - ASSY  PEDESTAL N2 BLOWOUT  W/VALVE
0010-02230 - ASSY  N2 PED. DRAIN  MALE  W/SHRADER
0010-02232 - ASSY DIRECT DRIVE ROBOT ROTATION NON-PLT
0010-02233 - ASSY STANDARD REACH DBR LINKAGE 300MM NO
0010-02234 - ASSY 300MM UPPE VACUUM RING NON-PLT
0010-02236 - ASSY 300MML LOWER VACUUM RING NON-PLT
0010-02238 - ASSY  CHILLER N2 SUPPLY
0010-02248 - ASSY  300MM MF ROBOT BLADE  ECP SF3
0010-02251 - ASSY  8" QUARTZ BLADE  REDUCED CONTACT
0010-02252 - ASSY  BARATRON DUAL  SILANE/TEOS FOUNDR
0010-02255 - GROUND SHIELD ASSY  300MM HDPCVD
0010-02256 - ASSEMBLY  MOTORIZED LIFT  P5 SERVO
0010-02258 - ASSY HALOGEN LAMP  LOW TEMP ESC / BESC
0010-02259 - ASSY  CVD REMOTE GAS BOX POS 2
0010-02260 - ASSY  CVD REMOTE GAS BOX POS 3
0010-02266 - ASSY SHIELD 8" B101 VECTRA-IMP REV 4.5 N
0010-02267 - ASSY ADAPTER/FEEDTHRU VECTRA-IMP REV 4.5 NITRONIX
0010-02268 - LIFT ARM ASSY  300MM HDPCVD
0010-02269 - CATHODE ASSY  300MM HDPCVD
0010-02290 - CATHODE ASSY. 200MM SNNF  SYSTEM ULTIMA
0010-02291 - CATHODE ASSY. 200MM SNNF W/WTM  SYSTEM U
0010-02292 - .AO
0010-02293 - .AO
0010-02294 - .AO
0010-02295 - .AO
0010-02296 - .AO
0010-02297 - .AO
0010-02298 - .AO
0010-02306 - ASSY  MIRROR HSG  IEP DOME WINDOW  300MM
0010-02307 - ASSY  200MM SNNF  HT CATHODE  DPS
0010-02310 - ASSY OTF RECEIVER VHP+ 200MM BANK
0010-02312 - ASSEMBLY  SOURCE CONDITIONING BOX  300MM
0010-02316 - +P1
0010-02318 - ASSEMBLY ENP XFER CH KF25 VENT DIFFUSER
0010-02322 - B101 HE PVD W/FILTER RF MATCH?
0010-02328 - ASSY  TEOS BYPASS CH. A/B/C  300MM PRODU
0010-02329 - ASSY DOOR PLASTIC CONTROLLER CENTURA II
0010-02330 - ASSY  BLANKOFF PLATE  3U/4HP  OCARD
0010-02332 - ASSEMBLY LOADLOCK 200MM W/O NANOMETRICS
0010-02333 - PACKAGING PLASTIC BOX ASSEMBLY FOR 200MM
0010-02342 - HEATER  CERMAIC ASSY  8" DXZ
0010-02343 - HEATER CERMAIC ASSY  6"  DXZ+
0010-02349 - ASSEMBLY FRIT VAPORIZOR
0010-02354 - ASSY (AERA) BSP CONTROL MODULE CH2 TXZ
0010-02355 - ASSY AERA BSP CONTROL MODULE CH3 TXZ
0010-02367 - THROTTLE VALVE DRIVE ASSY  300MM HDPCVD
0010-02368 - THROTTLE VALVE ASSY  300MM HDPCVD
0010-02372 - ASSY  HIGH EFF. RF MATCH-BIAS W/ FILTER
0010-02387 - ASSY CATHODE 300MM DPS-POLY CHAMBER
0010-02393 - ASSEMBLY  TAB GROUNDING BUTTON SUPPORT W
0010-02395 - ASSY  HOSES PER NESLAB CMF 100FT
0010-02400 - ASSY  SHIELD  REV 5.0  VECTRA IMP 300MM
0010-02405 - DPS CATHODE  6" PHOTOMASK  ASSY
0010-02406 - 8" ENHANCED DEGAS LAMP 350C ASSY?
0010-02407 -
0010-02408 - ASSY  LIFT PIN  CATHODE  PHOTOMASK  DPS
0010-02410 - ASSEMBLY  FAST LIFT  300MM
0010-02412 - ASSY CATHODE  200MM FLAT CESC  EMAX
0010-02413 -
0010-02414 - ASSY  THROTTLE VALVE  DUAL FLAP  200MM
0010-02416 - ASSY  LARGE TOWER  EMAX
0010-02419 - Clamp Lid  Assy.
0010-02420 -
0010-02430 - ASSY  VOR MATCH DC TUNING FIXTURE
0010-02432 -
0010-02433 - ASSY FCW VALVE 300MM CENTURA
0010-02435 - ASSEMBLY  HEATER LIFT  TANOX
0010-02436 - ASSY I/O BOX DPS E-DTCU
0010-02441 - HTR/PURGE RING ASSY SST HTR 300 MM TXZ
0010-02446 - ASSY CLAMP TRANSFER CHAMBER COVER 300MM
0010-02450 - Drive  Assy.
0010-02458 - ASSEMBLY  WATER MANIFOLD  PRODUCER
0010-02459 - CERAMIC HEATER ASSY  200MM PRODUCER
0010-02460 - ASSY  CERAMIC HEATER  SA 200MM PRODUCER
0010-02461 - HEATER ASSY  NGK HA-12  COMMON  RF BASE
0010-02463 - ASSY  CERAMIC HEATER  PE 300MM PRODUCER
0010-02465 - HEATER ASSY  NGK HA-12  COMMON  PE-PROD
0010-02466 - HEATER ASSY  NGK HA-12  COMMON  SA-PROD
0010-02467 - HEATER ASSY COMMON 200MM XZ CERAMIC
0010-02469 - BEZEL FRONT DOOR?
0010-02473 - ASSEMBLY  SWLL LLA HIGH VACUUM ENDURA E
0010-02474 - ASSEMBLY  SWLL LLB HIGH VACUUM ENDURA E
0010-02475 - ASSY HEAT EXCHANGER VALVES CENTURA MMF
0010-02476 - TOP COIL ASSY  HDPCVD 300MM ULTIMA  SILV
0010-02477 - 300MM HV/DC FILTER BESC ASSY
0010-02478 - ASSY  8" HTHU  BUFFER BLADE  BB
0010-02484 - OUTSOURCE ASSY  FRONT END FRAME  200/300
0010-02486 - 000
0010-02487 - CATHODE ASSY 150MM SF NSO ULTIMA
0010-02488 - A
0010-02489 - A
0010-02490 - A
0010-02491 - A
0010-02492 - A
0010-02493 - A
0010-02494 - A
0010-02495 - CATHODE ASSY 200MM JMF W/WTM NSO ULTIMA
0010-02496 - CATHODE ASSY 200MM JMF W/WTM SYSTEM ULTI
0010-02502 - ASSY  DTLR CHEMGUARD (SCHUMACHER)
0010-02506 - NO DWG ASSY  PANEL  BOC ACCESS  SKINS  3
0010-02507 - NO DWG ASSY  PANEL  VENTED ACCESS  SKINS
0010-02509 - ASSY  FCW VALVE W/FLOW SENSOR  CENT-II
0010-02514 - NO DWG ASSY  UPPER LT CENTER DOOR  SKINS
0010-02515 - DOOR  UPPER LEFT OUTER  SKINS  300MM ECP
0010-02517 - WATER SUPPLY HOSE W/BRS FLARE FTGS CHAMB
0010-02518 - WATER RETURN HOSE W/BRS FLARE FTGS CH 1
0010-02519 - WATER SUPPLY HOSE W/SST FLARE FTGS CH 1
0010-02520 - WATER RETURN HOSE W/SST FLARE FTGS CH 1
0010-02521 - WATER SUPPLY HOSE W/SST-SEAL-LOK FTGS CH
0010-02522 - WATER RETURN HOSE W/SST-SEAL-LOK FTGS CH 1 4 C & D
0010-02523 - WATER SUPPLY HOSE W/BRS FLARE FTGS CHAMBER 2
0010-02524 - WATER RETURN HOSE W/BRS FLARE FTGS CHAMBER 2
0010-02525 - Water Supply Hose w/SST Flare Ftgs Chamber 2
0010-02526 - WATER RETURN HOSE W/SST FLARED FTGS CHAMBER 2
0010-02527 - WATER SUPPLY HOSE W/SST-SEAL-LOK FTGS CHAMBER 2
0010-02528 - WATER RETURN HOSE W/SST-SEAL-LOK FTGS CHAMBER 2
0010-02529 - WATER SUPPLY HOSE W/BRS FLARE FTGS CHAMBER 3
0010-02530 - WATER RETURN HOSE W/BRS FLARE FTGS CHAMB
0010-02531 - WATER SUPPLY HOSE W/SST FLARE FTGS CHAMB
0010-02532 - WATER RETURN HOSE W/SST FLARE FTGS CHAMBER 3
0010-02533 - WATER SUPPLY HOSE W/SST-SEAL-LOK FTGS CHAMBER 3
0010-02534 - WATER RETURN HOSE W/SST-SEAL-LOK FTGS CHAMBER 3
0010-02542 - WATER HOSE H2O RETURN?
0010-02560 - ASSY  REMOTE DISTRIBUTION
0010-02561 - LIFT MECHANISM ASSY  300MM HDPCVD
0010-02562 - Magnet  PVD
0010-02563 - ASSY  LP-1.2 MAGNET
0010-02569 - ASSY  WATER HOSE  HEATER BASE 300MM TXZ
0010-02570 - ASSEMBLY THROTTLE VALVE FLANGE ULTIMA HD
0010-02571 - TROTTLE VALVE ASSEMBLY W/DRIVE ULTIMA HD
0010-02575 - 001
0010-02576 - HOSE ASSY  CHAMBER A #1 RETUR
0010-02577 - +P1
0010-02578 - +P1
0010-02579 - HOSE ASSY  CHAMBER #2 SUPPLY
0010-02580 - HOSE ASSY  CHAMBER A #2 RETURN
0010-02581 - P1
0010-02582 - 001
0010-02583 - 001
0010-02584 - 001
0010-02585 - 001
0010-02586 - 001
0010-02587 - +P1
0010-02588 - HOSE ASSY  CHAMBER B#3 SUPPLY
0010-02589 - HOSE ASSY  CHAMBER B #3  RETURN
0010-02592 - 001
0010-02593 - +P1
0010-02594 - +P1
0010-02595 - +P1
0010-02604 - TROTTLE VALVE ASSEMBLY ULTIMA HDP-CVD
0010-02611 - ASSY LOWER SNSR LLA NB WAFER SLIDE  TPCC
0010-02612 - ASSY  LOWER SNSR LLB  NB WAFER SLIDE  TP
0010-02616 - ASSY. SYM. GAS RING 24 PORTS W/RF GASKET
0010-02617 - 001
0010-02621 - 8" BUFFER BLADE/WRIST ASSY HP+ ROBOT HTH
0010-02622 - BLADE ASSY 8" TANSFER
0010-02631 - ASSY AC BOX AMAT 0 W/O NEUTRAL
0010-02632 - ASSY AC BOX AMAT 1 W/O NEUTRAL
0010-02633 - ASSY AC BOX AMAT 0 W/NEUTRAL
0010-02634 - ASSY AC BOX AMAT 1 W/NEUTRAL
0010-02639 - ASSY  XFER CH LID  CENTURA II W/VHP+  NO
0010-02647 - ASSY  ADAPTER REV 5.0  VECTRA IMP 300MM
0010-02651 - LOCAL MATCH ASSY  TOP  300MM  ULTIMA
0010-02652 - LOCAL MATCH ASSY  SIDE  300MM  ULTIMA
0010-02656 - P1
0010-02670 - JET PACK MODULE ASSY  EPI 300MM
0010-02680 - DUCT ASSY  LOWER SUPPLY  CHAMBER A  EPI
0010-02681 - DUCT ASSY  UPPER SUPPLY  CHAMBER A  EPI
0010-02682 - DUCT ASSY  LOWER RETURN  CHAMBER A  EPI
0010-02683 - DUCT ASSY  UPPER RETURN  CHAMBER A  EPI
0010-02684 - DUCT ASSY  LOWER SUPPLY  CHAMBER B  EPI
0010-02685 - DUCT ASSY  UPPER SUPPLY  CHAMBER B  EPI
0010-02686 - DUCT ASSY  LOWER RETURN  CHAMBER B  EPI
0010-02687 - DUCT ASSY  UPPER RETURN  CHAMBER B  EPI
0010-02702 - ASSY  UPPER E-DTCU  DPS
0010-02703 - ASSY  ENHANCED DTCU  DPS
0010-02709 - VALVE ASSY BYPASS SST VESPEL AMAT 1
0010-02710 - MTH PUMP ASSY  SST  AMAT 1
0010-02719 - TURBO MANIFOLD ASSY W/SST FLARE FTGS
0010-02720 - TURBO MANIFOLD ASSY LEFT W/SST-SEAL-LOK
0010-02721 - TURBO MANIFOLD ASSY RIGHT W/SST-SEAL-LOK
0010-02722 - ASSY MANIFOLD 20 GM HEAT XCHR TPI
0010-02728 - ASSEMBLY SWLL LLA CHAMBER-ENP
0010-02730 - ASSEMBLY  SWLL A LIFT HOOP-ENP
0010-02737 - ASSY  C-CHUCK  200/150MM  CIP99
0010-02738 - ASSY  C-CHUCK  150MM  CIP99
0010-02739 - ASSY  P-CHUCK  200/150MM  CIP99
0010-02740 - P-CHUCK ASSY  150MM  CIP99
0010-02741 - ASSY  P-CHUCK  150/125MM  CIP99
0010-02742 - ASSY  P-CHUCK  150/100MM  CIP99
0010-02744 - DI SENSOR VESPEL / BRASS  HEAT EXCHANGER
0010-02746 - ASSY NON-PLT 300MM ROBOT NON-PLT
0010-02747 - R1 DPS Enhanced Metal RF Match
0010-02750 - ASSY XFER CH LID NON-ENP MCE
0010-02752 - ASSY  CESC/RF 300MM  DZ 10" LP LOC  SUPE
0010-02758 - WAFER LIFT PIN ASSY  300MM  DPS
0010-02766 - ASSY WATER COVER & PEDESTAL FAST COOLDOW
0010-02767 - OBS  ASSY  CHAMBER LID  TANOX
0010-02768 - ASSY  V-ELECTRODE  WITH IEP  HR DTCU  .4
0010-02769 - ASSY  V-ELECTRODE  W/O IEP  HR DTCU  .40
0010-02771 - ASSY BARATRON DUAL TEOS 10/100 W/380 SW
0010-02789 - ASSY  VALVE THROT EXH  3.00ID NW80 W/STE
0010-02790 - ASSY  NBLLA 25 SLOT EP UNIV CASS  CORR R
0010-02792 - VALVE ASSY  TURBO PUMP  300MM HDPCVD
0010-02796 - ASSEMBLY 300MM DUAL BLADE ROBOT NON-PLT
0010-02798 - TEOS BYPASS CH. A/B/C ASSY  300MM PRODUC
0010-02822 - ASSY  IEP MODULE  254NM  DPS
0010-02823 - ASSY  IEP MODULE  365NM  DPS
0010-02824 - SIGMA THROTTLE ASSY  300MM PRODUCER SACV
0010-02833 - ASSY LEAK DETECT SENSOR 6 IN
0010-02836 - MW SUPPORT ASSY  CH B C D HDP\GIGA-FILL\
0010-02841 - 200MM SWLL CHAMBER "LLA" ASSEMBLY  HIGH VAC
0010-02842 - 200MM SWLL CHAMBER "LLB" ASSEMBLY  HIGH VAC
0010-02846 - CAPACITOR .03UF ASSEMBLY  ICE MATCH
0010-02849 - TOP LOCAL MATCH ASSEMBLY ULTIMA HDP-CVD
0010-02850 - SIDE LOCAL MATCH ASSEMBLY  ULTIMA  HDP-C
0010-02855 - REAR DOOR  CH. B  RTP TOXIC  CMF
0010-02856 - REAR DOOR  CH. A  RTP TOXIC  CMF
0010-02857 - ASSY  ESC/RF  200MM FLAT  DUAL ZONE  EMA
0010-02862 - SPARE 6'' TEOS SUSCEPTOR (PLATE STOCK)
0010-02863 - ASSY SUSCEPTOR  150MM TEOS BB (PLATE STO
0010-02864 - ASSY  BABPSG 8'' - 6'' TISABB THK SUSCEP
0010-02866 - ASSY BASIC SUSCEPTOR
0010-02885 - ASSEMBLY  BPSG  CHAM A  W/STEC  10RA  RT
0010-02889 - ASSEMBLY  BPSG  CHAM B W/UNIT  10RA  RTP
0010-02890 - ASSEMBLY  BPSG  CHAM B  W/STEC  10RA  RT
0010-02892 - 002
0010-02902 - GASLINE ISOLATOR  SUBZERO BESC
0010-02903 - N2 REGEN HRNS ENDURA MF
0010-02905 - BLADE ASSY  P5000 ROBOT  200MM
0010-02907 - HEAT EXCHANGER HOSE ASSEMBLY SUPPLY  75F
0010-02908 - HEAT EXCHANGER HOSE ASSEMBLY RETURN  75F
0010-02911 - ASSY  ESC/RF  200MM  NOTCH  EMAX
0010-02912 - FRONT BLADE ASSY  P5000  200MM
0010-02920 - ASSY  HOSE  CHAMBER LINER  IX SUPPLY POS
0010-02924 - ASSY  HOSE  CHAMBER LINER/CATHODE LINER
0010-02961 - WHEEL ASSY.
0010-02962 - VACUUM ASSIST LEFT ASSY  3"
0010-02963 - VACUUM ASSIST RIGHT ASSY  3"
0010-02964 - SHAFT ASSY.
0010-02965 - LOAD TILT SENSOR ASSY.
0010-02966 - WAFER ARM ASSY.
0010-02967 - SCAN ARM ASSY.
0010-02968 - WS PRESSURE REGULATOR ASSY.MAN
0010-02969 - WS SOLENOID MANIFOLD ASSY.
0010-02970 - WS PRESS.REG. ASSY. ELECTRONIC
0010-02971 - WS PRESS.RELIEF ASSY. ADJUST.
0010-02972 - HYDROGEN SUPPLY ASSY.
0010-02976 - 001
0010-02977 - ASSEMBLY  RF MATCH  SUB ZERO BESC
0010-02983 - ASSY  CVD 300MM TC AMP INTERLOCK
0010-02991 - ASSY SUSCEPTOR 150MM BSE BWCVD (PLATE ST
0010-03002 - ASSY  CLAMP RING  LOWER  200MM PRE-CLEAN
0010-03009 - ASSY  LOWER REFLECTOR  200MM PRE-CLEAN
0010-03012 - ASSY SWEEP HEAD MOTOR  LEAD SCREW MIRRA
0010-03015 - ASSY BRKT/FLOW SW N2 PURGE SZ BESC & BLT
0010-03016 - ASSY TC AMP/OT CU SZ CHILLER
0010-03019 - GRAPHITE & PLATE ASSY  RH
0010-03020 - GRAPHITE & PLATE ASSY  LH
0010-03021 - SCREW LEAD LONG
0010-03022 - ELECTRODE SHAFT ASSY
0010-03023 - ELECTRODE ASSEMBLY
0010-03024 - AIR BEARING ASSY
0010-03025 - SOURCE MAGNET KIT
0010-03026 - EXIT APERTURE ASSY
0010-03027 - SOURCE COIL HSG ASSY
0010-03033 - ELECTRODE ASSY  1st CAVITY
0010-03034 - ELECTRODE ASSY  2nd CAVIT
0010-03036 - QUADRUPOLE ASSY  40mm APERTURE
0010-03037 - ELECTRODE ASSY  G1
0010-03038 - ELECTRODE ASSY  G2
0010-03039 - ELECTRODE ASSY  G3 & G4
0010-03040 - PICKUP LOOP ASSY
0010-03041 - INNER ELECTRODE ASSY
0010-03042 - OUTER ELECTRODE ASSY
0010-03043 - 60kV GRADED BUSHINGY
0010-03045 - ASSEMBLY CELL I/O
0010-03046 - ASSEMBLY MAINFRAME I/O
0010-03048 - ASSY  120V ACPWR DISTRIBUTION TICL-4 TI/
0010-03049 - HOUSING ASSY  TH VALVE  DUAL FLAP
0010-03051 - 300MM NON-ENP REV 3 SLIT VALVE ACT ASSEM
0010-03052 - BRACKET ASSY  FLOATING  MAGNET SUPPORT
0010-03057 - 300MM ENP REV 3 SLIT VALVE ACT ASSEMBLY
0010-03059 - 300MM AL PR CH SLIT VALVE DR REV 3 ASSEM
0010-03060 - 300MM SST PR CH SLIT VALVE DR REV 3 ASSE
0010-03061 - 300MM SST LLC SLIT VALVE DR REV 3 ASSEMB
0010-03062 - ASSEMBLY PURGE REFLECTOR PLATE 2MM RADIA
0010-03070 - ASSY  DIRECT DRIVE THROTTLE VAL
0010-03071 - 001
0010-03072 - UPPER CHAMBER ASSEMBLY ULTIMA HDP-CVD
0010-03081 - 001
0010-03082 - 001
0010-03087 - TTW MOUNTING ASSY
0010-03088 - CATHODE ASSY. 200MM SNNF  NSO  ULTIMA.
0010-03090 - CATHODE ASSY. 200MM SNNF  SYSTEM  ULTIMA
0010-03092 - CATHODE ASSY. 200MM SNNF  W/WTM  NSO  UL
0010-03093 - CATHODE ASSY. 200MM SNNF  W/WTM  SYSTEM
0010-03094 - CATHODE ASSY. 150MM SF NSO  ULTIMA
0010-03095 - CATHODE ASSY. 150MM SF  SYSTEM  ULTIMA.
0010-03097 - CATHODE ASSY. 200MM JMF  NSO  ULTIMA
0010-03098 - 001
0010-03099 - 001
0010-03102 - 001
0010-03104 - CATHODE ASSY. 125MM SF MIN CONTACT  NSO ULTIMA
0010-03105 - 001
0010-03107 - CATHODE ASSY. 200MM JMF W/WTM  NSO ULTIMA.
0010-03108 - CATHODE ASSY. 200MM JMF W/WTM  SYSTEM UL
0010-03109 - ASSY  3-WAY VALVE  DH
0010-03120 - ASSY  CONTAINER HEAD STORAGE
0010-03128 - ASSY  MCA+  AC BOX 2
0010-03129 - ASSY  HV/DC FILTER  MCA+ 2
0010-03133 - ASSEMBLY  O2 ANALYZER CALIBRATION UNIT
0010-03137 - ASSY  8" TUNGSTEN PLUS HEAD W/ AEP
0010-03144 - ASM  MAGAZINE LOCK
0010-03147 - LIQUID DELIVERY  BRUSH  300MM HVM  MESA
0010-03148 - LIQUID DELIVERY  BRUSH  HIGH FLOW  300 M
0010-03151 - ASSY  ELECTRONIC BOX  BRUSH  300 MM HVM
0010-03152 - ASSY  SACVD REMOTE CLEAN SLANT TUBE
0010-03157 - GIMBAL ASSY CHAMBER ANNEAL ECP 200MM
0010-03163 - ASSEMBLY 2MM SAPHIRE PROBE RTP
0010-03165 - ASSY TOP MANIFOLD  TL DI WATER
0010-03168 - PANEL ASSY  FI/SWLL INTERFACE A W/ WINDO
0010-03169 - PANEL ASSY  FI/SWLL INTERFACE B W/ WINDO
0010-03171 - ASSY  SUSC  BB TEOS  200MM SCREWLESS
0010-03172 - ASSEMBLY 2MM PYROMETER RADIANCE CHAMBER
0010-03175 - SUSCEPTOR ASSY  TEOS 200MM
0010-03182 - ASSY  DNET HUB  13  OFAST RECPT
0010-03188 - HEAD  TITAN PROFILER?
0010-03190 - LIFT ASSY  300MM LPCVD  XGEN
0010-03194 - 300MM HEATER ASSY  DUAL ZONE
0010-03197 - ASSEMBLY  TEOS  MIDDLE 1  CH. B  200MM P
0010-03226 - ASSY  MODULE  INPUT LIQUID DELIVERY  300
0010-03236 - ASSY  14" WIDE PLENUM EXHAUST  RTP  SLD
0010-03237 - OUTSOURCED ASSY  CONTROL RACK  PRODUCER
0010-03241 - SHELF ASSEMBLY  208V POWER OPTION TRANSF
0010-03244 - ASSY  HEATER  SNNF 200MM HPTXZ IMP. UNIF
0010-03245 - REFLECTION HVM PAD CONDITIONER
0010-03246 - BASE/ARM ASSEMBLY  REFLECTION HVM PC
0010-03255 - MCA+ HTR ASSY  8" SNNF
0010-03258 - 3/8 HOSE
0010-03269 - HOSE ASSY  1/2 SP3 VLV TO LOWER BASE RING
0010-03270 - HOSE ASSY  1/2 LOWER BASRERING/EXH TP SP
0010-03273 - HOSE ASSY  3/8 SP2 VLV TO CHAMBER BOTTOM
0010-03274 - HOSE ASSY  3/8 CHAMBER BOTTOM TO SP2 VLV
0010-03289 - ASSY  UPPER DRAWER  CU
0010-03290 - ASSY  LOWER DRAWER  CU
0010-03291 - EDGE RING ASSY IFC SST HTR (AL EDGERING)
0010-03294 - 300 HVM PAD CONDITIONER
0010-03299 - PYROMETER ASSY  300MM RADIANCE LITE
0010-03300 - HOSE  ASSY  CNDCTV 3/8TFE/TBG 36"LG
0010-03301 - ASSY  CLEAN CUP  300 HVM
0010-03308 - DRIVE ASSEMBLY SWLL A
0010-03311 - DRIVE ASSEMBLY SWLL B
0010-03320 - WATER RETURN HOSE W/BRS FLARE FTGS CH 1
0010-03321 - ASSY HEATER 8" NHT .29 OSCR WXZ
0010-03322 - HEATER  ASSY  8" NHT .29 AMJ WXZ
0010-03323 - HEATER  ASSY 8" NHT .029 EXTRA WIDE POCK
0010-03324 - HEATER  ASSY 8" NLT .029 EXTRA WIDE POCK
0010-03327 - 001
0010-03328 - ASSY LAMP  AC TERMINAL  CH A OR B  RTP
0010-03329 - ASSY CE MARK TC-AMP TECTRA TI/TIN
0010-03331 - ASSY HEATER 8" NLT .060 OSCR WXZ
0010-03332 - HEATER  ASSY  8" NLT .060 AMJ WXZ
0010-03337 - HEATER  ASSY  8" N 390 .029 NCSR AMJ WXZ
0010-03338 - ASSY HEATER 8" SR OSCR WXZ
0010-03339 - HEATER  8" SR AMJ WXZ
0010-03340 - HEATER  ASSY  8" NHT .029 NCSR AMJ WXZ
0010-03341 - HEATER  ASSY  8" NHT-NT .029 AMJ WXZ
0010-03342 - HEATER  ASSY  8" NLT-NT .029 AMJ WXZ
0010-03343 - HEATER  ASSY  8" J 390 .029 NCSR AMJ WX
0010-03344 - HEATER  ASSY  8" JLT .029 AMJ WXZ
0010-03345 - HEATER  ASSY  8" JHT .029 AMJ WXZ
0010-03346 - HEATER  ASSY  6" SR AMJ WXZ
0010-03347 - HEATER  ASSY  6" SHT .025 AMJ WXZ
0010-03348 - HEATER  ASSY  6" JHT .026 AMJ WXZ
0010-03349 - ASSY HEATER 8" JHT .029 OSCR WXZ
0010-03353 -
0010-03356 - HEATER  ASSY  8" NHT .029 ROR WXZ
0010-03357 - HEATER  ASSY  8" NLT .060 ROR WXZ
0010-03358 - HEATER  ASSY  8" SR ROR WXZ
0010-03359 - HEATER  AASY  8" JHT .029 ROR WXZ
0010-03362 - MCA+ HTR ASSY  JMF 8"
0010-03363 - MCA+ ESC WAFER DETECT ASSY
0010-03364 - ASSY  CAPACITOR  RF & HV CNTR FEED  300M
0010-03367 - ASSY HEATER 8" N 390 .029 NCSR OSCR WXZ
0010-03368 - ASSY HEATER 8" NHT .029 NCSR OSCR WXZ
0010-03369 - ASSY HEATER 8" NHT-NT .029 OSCR WXZ
0010-03370 - ASSY HEATER 8" NLT-NT .029 OSCR WXZ
0010-03371 - ASSY HEATER 8" J 390 .029 NCSR OSCR WXZ
0010-03372 - ASSY HEATER 8" JLT .029 OSCR WXZ
0010-03378 - ASSY.  PURGE HEATER  200MM JMF TXZ
0010-03381 - ASSY  EDGE RING  JMF  HP TXZ  200MM
0010-03387 - ASSEMBLY  LDM ENCLOSURE  SRD MESA CLEANE
0010-03390 - 000
0010-03403 - GASLINE  ISOLATOR ASSY  300 MM WATER BOX
0010-03406 - ASSY APPLICATOR 1.5KW UWAVE WXZ VER 2.5
0010-03407 - ROLLER ASSY  200MM  BRUSH MODULE
0010-03411 - ASSY  ENCLOSURE  300MM MEG LDM  MESA CLE
0010-03412 - WXZ HEATER?
0010-03416 - LEFT FACILITIES TRAY COVER/DOOR ASSY 300
0010-03419 - ASSY ROLLER DRIVE
0010-03437 - MOTORIZED HEATER LIFT 300MM
0010-03438 - MOTORIZED WAFER LIFT 300MM
0010-03441 - ASSY OUTSOURCE PIN LIFT BELLOWS 200MM PR
0010-03442 - HOSE ASSY  1/2 BYPASS  LOWER BASERING TO
0010-03446 - HOSE ASSY RETURN CHAMBER D
0010-03447 - 002
0010-03448 - 001
0010-03449 - 001
0010-03452 - BRUSH BEARING CLOCK  FIELD SPARES
0010-03452/P2 - BRUSH BARING SPARE
0010-03467 - UPPER CHAMBER ASSEMBLY  ULTIMA HDP-CVD
0010-03472 - 001
0010-03474 - ASSY. SHIM FIXTURE
0010-03486 - FACE MAGNET ASSY
0010-03487 - MAGNET ASSY
0010-03497 - ASSEMBLY  LH PIVOT AND BEARING  300MM CR
0010-03498 - ASSY  RH PIVOT AND BEARING  300MM CR ROB
0010-03500 - ASSY  TITAN I/II E-CHAINFLEX
0010-03510 - LOWER ASSY  150MM TITAN HEAD  ELR
0010-03512 - ASSY. HEAD ELR 150MM TITAN HEAD
0010-03517 - SUSC-ASSY  150MM  WSIX-DCS
0010-03523 - BPSG PLATE ASSY 150MM?
0010-03524 - REF. PLATE ASSY  BPSG  IMPRV. CONTACT  2
0010-03528 - 001
0010-03529 - 001
0010-03530 - ASSY  DIAMOND C HEAD CARRIER
0010-03531 - 001
0010-03550 - ASSY  RETRACTABLE HEAD FASTENER
0010-03551 - ASSY  Z PRESSURE CHAMBER
0010-03553 - ASSY  PROX SENSOR Z-AXIS
0010-03555 - GRIPPER ASSY  RIGHT
0010-03559 - HEATER ANNEAL ECP 200MM
0010-03573 - ASSEMBLY BOTTOM EXHAUST DAMPE
0010-03576 - ASSY.  IMPROVED SEALING  GAS BOX
0010-03577 - 001
0010-03580 - ASSY  CONE LIFT BRACKET  300 HVM
0010-03582 - FLYWHEEL ASSY PLUNGER 45 DEGREE   200MM
0010-03583 - FLYWHEEL ASSY  45DEGREE PLUNGER  300MM D
0010-03584 - ASSY. 8" COPPER TITAN HEAD "REV. 3"
0010-03587 - DOOR ASSEMBLY  300MM SWLLB
0010-03597 - COVER ASSY  MOLDED  PUMPING PLATE  SAL TXZ
0010-03618 - ASSY  MAIN CHASSIS
0010-03621 - DOOR ASSEMBLY  300MM SWLLA
0010-03622 - FRONT PANEL  HINGE  300MM SM4 ASSY
0010-03628 - CENTURA MSM  WITH CD ROM AND WIN NT
0010-03629 - ASSY REMOTE CONTROLLER CCM ETCH 300MM
0010-03634 - ASSY  REFRIG. RECIRCULATOR  HX-150 W/CH
0010-03646 - ASSY  CASSETTE TRAY
0010-03661 - ASSY  150MM SUSCEPTOR UNIVERSAL CHAMBER
0010-03671 - MAGNET ASSY
0010-03675 - KIT  CFW SUPPLY/RETURN  SWLL 300MM CENTU
0010-03678 - CFW SUPPLY
0010-03679 - ASSY  NESLAB 5200 W/GFI
0010-03681 - ROUGH THROTTLE VALVE ASSEMBLY W/VITON
0010-03682 -
0010-03686 - LID SUPPLY HOSE  SINGEN
0010-03688 - SUPPLY HOSE  CHAMBER COOLING  SIN
0010-03689 - RETURN HOSE  CHAMBER COOLING  SIN
0010-03690 - TRANSFORMER 5 KVA MIRRA 300MM
0010-03695 - ASSY  INTEG CROSS (N-BOM)
0010-03697 - SWEEP HEAD ASSY
0010-03698 - ASSY  DISPENSE ARM  SLAVE  IBC IECP
0010-03699 - ASSY  DISPENSE ARM  MASTER  IBC IECP
0010-03704 - ASSY  BEZEL W/ LIGHT PEN
0010-03705 - ASSEMBLY  ACTUATOR SUPPORT PLATE
0010-03706 - ASSEMBLY  DC BIAS HTHU
0010-03708 - ASSEMBLY  PNUEMATIC ACTUATOR
0010-03714 - ASSY  RR MANIFOLD  4 PORT UPA 30MM
0010-03715 - ASSY  4 PORT UPA  300MM
0010-03717 - CLIP ASSY  SIC WEDGE  SRD SPIDER  ECP SF
0010-03734 - BUILD/SHIP STAND  CHAMBER  EMAX
0010-03735 - ASSY  EC MANIFOLD  4 PORT UPA 300MM
0010-03736 - ASSY  UC MANIFOLD  4 PORT UPA 300MM
0010-03737 - ASSY  IC MAINFOLD  4 PORT UPA 300MM
0010-03746 - HEATER ASSY  6" SR ROR WXZ
0010-03747 - HEATER ASSY  6" SHT .025 ROR WXZ
0010-03748 -
0010-03756 - CLIP ASSY  SIC WEDGE SHORT  SRD ECP
0010-03757 - ASSY INPUT MODULE 300MM HVM
0010-03758 - ASSY  BUFFER BLOCK  300MM HVM
0010-03759 - ASSY  INPUT BLOCK  300MM HVM
0010-03760 - ASSY  PASS THRU BLOCK  HVM 300MM
0010-03763 - ASSY  DOOR  HVM 300MM
0010-03765 - ASSY  IRRIGATION SYSTEM  HVM 300MM
0010-03766 - ASSY  OUTPUT MODULE  HVM 300MM
0010-03774 - HEATER ASSEMBLY  300MM MCA LT BESC
0010-03778 - H/A  AC PWR TO FIC AND CDA/VAC. 2W 300MM
0010-03799 - ASSEMBLY UWAVE SPRINT WXZ
0010-03818 - ASSEMBLY CONTROLLER / AC FRAME CENTRURA-STD
0010-03819 - SHIELD ASSY 8'' ELECTRA IMP TA ESC
0010-03821 - COVER TOP SHIPPING HEATER 300MM TXZ
0010-03835 - ASSY  WATER TRAP PEDESTAL VACUUM  MIRRA
0010-03837 - OUTSOURCED ASSY  CHAMBER RACK  PRODUCER
0010-03840 - CER HTR ASSY  200MM PRODUCER
0010-03841 - ASSY  CER HTR  SA 200MM PRODUCER
0010-03843 - ASSY CER HTR NGK RF GND 8" GIGA-SA
0010-03849 - SIDE DOOR ASSY  OUTER LOWER RIGHT  IECP
0010-03850 - SIDE DOOR ASSY  OUTER LOWER LEFT  IECP
0010-03871 - ASSEMBLY CONTROLLER DRAWER  300MM FI
0010-03872 - ASSY  SABPSG 8" T1SABB THICK SUSCEPTOR
0010-03882 - ROBOT ASSEMBLY  200/300MM PRODUCER
0010-03886 - ASSY  MIRROR HSG W/SPACER  2.75" DOME WI
0010-03888 - ASSY  DOME WITH VDE  W/ IEP  HR DTCU  DP
0010-03889 - ASSY  DOME WITH VDE  W/O IEP  HR DTCU  D
0010-03899 - HOSE  26" W/ MALE NPT
0010-03903 - HOSE  8" W/ 2 BARB 3/4H X 1-1/16
0010-03907 - DRIVE ASSY  SWLL INDEXER  150MM SLIDE
0010-03909 - ASSY.  SOURCE CONDITIONING  ULTIMA HDPCV
0010-03910 -
0010-03911 -
0010-03912 -
0010-03914 - 6.75" HOSE W/ MALE & FEMALE NPT
0010-03916 - HOSE 6" W/ MALE AND FEMALE NPT
0010-03917 - LATCHED LOWER FRONT PANEL ASSEMBLY
0010-03918 - ASSY  ANNEAL CHAMBER CONTROLLER
0010-03919 - 12" HOSE W/2 HOSE CONN 3/8H X 1/2MP
0010-03922 - ASSY SWITCH TEMP CONTROLLER W/ 6A FUSE
0010-03926 - 12" TEF HOSE W/2 TUB INSERTS
0010-03927 - 13 1/2" TEF HOSE W/2 TUB INSERTS
0010-03928 - HEATER?
0010-03929 - 28" TEF HOSE W/2 TUBING INSERTS
0010-03930 - WXZ HEATER?
0010-03931 - 28" TEF HOSE W/1 TUBING INSERT
0010-03932 - HEATER?
0010-03939 - 001
0010-03940 - HHOSE ASSY  CHAMBER C #1  SUPPLY
0010-03942 - HOSE ASSY  CHAMBER B #2  SUPP
0010-03943 - HOSE ASSY  CHAMBER B #1  RETURN
0010-03944 - 001
0010-03945 - 001
0010-03947 - HOSE ASSY  CHAMBER B #1  SUPPLY
0010-03948 - 001
0010-03949 - 001
0010-03950 - 001
0010-03951 - 001
0010-03956 - ASSY  ADAPTER PLATE
0010-03957 - HARNESS ASSY. RIGHT FACILITATION TRAY
0010-03967 - ASSY  NBLLA 26 SLOT EP UNIV CASS  CORR R
0010-03970 - ASSY  NBLLB 26 SLOT EP UNIV CASS  CORR R
0010-03974 - DDF3 PNEUM CNTRL UNIT
0010-03985 - 003
0010-03991 -
0010-03996 - ASSY  DRAWER WHEEL
0010-03999 - ASSY  MANIFOLD 4 STA PROPORTION AIR
0010-04000 - ASSY  FLOW BOOSTER
0010-04001 - ASSY  VACUUM ACCUMULATOR
0010-04002 - ASSY  MANIFOLD 6 STA PRO AIR
0010-04006 - ASSY DTLR CHEMGUARD W/3.0 GAL PROCESS RESERVOIR
0010-04007 - ASSY DTLR ADCS W/3.0 GAL PROCESS RESERVO
0010-04008 - ASSY TEOS LOWER 200MM CH. A/B/C PRODUCER
0010-04012 - ASSEMBLY  INDEXING FRAME  300MM ULTIMA
0010-04025 - FIBER OPTIC SENSOR  300MM TICL TI/TIN  M
0010-04038 - HOSE W/VALVE AND SENSOR?
0010-04046 - HOSE COOLING MOD SUPPLY 200MM PRECLEAN
0010-04047 - HOSE COOLING MOD RETURN 200MM PRECLEAN
0010-04048 - HOSEASSY VAC PUMP SUPPLY 200MM PRECLEAN
0010-04049 - HOSE ASSY VAC PUMP RETURN 200MM PRECLEAN
0010-04050 - HOSE ASSY CHAMBER TO FLOW SWITCH 200MM P
0010-04051 - HOSE ASSY CHAMBER SUPPLY 200MM PRECLEAN
0010-04053 - REAR LOWER PANEL ASSEMBLY WITH LOTO ENCL
0010-04056 - ASSY  ROBOT WRIST  DUAL WAFER ROBOT
0010-04061 - ASSY  STEPPER DRIVER  THROTTLE VALVE
0010-04064 - ASSY  DIRECT DRIVE THROTTLE VALVE
0010-04065 - ASSY MAGNET  SIP
0010-04070 - LOTO ASSY VERIFLOW VALVE?
0010-04074 - ASSY REFLECTOR PURGE PLATE 300MM RADIANC
0010-04082 - ASSY  POWER MODULE  208VAC  END PT DETEC
0010-04083 - ASSY  CE MARK TC AMP WXZ
0010-04084 - THROTTLE VALVE ASSY  300MM HDPCVD
0010-04092 - 300MM SWLL DEGAS / PREHEAT ASSEMBLY
0010-04094 - ASSY.  IU HP TXZ PUMPING PLATE
0010-04097 - PLATE ASSY  OTB   RIGHT REAR  GIGAFILL
0010-04098 -
0010-04106 - GRIPPER ASSY  FRONT
0010-04107 - GRIPPER ASSY  REAR
0010-04118 - WAFER PRESENCE SENSOR ASSY.
0010-04137 - MCVD BW ETCHBACK RF MATCH
0010-04138 - MCVD DC BIAS RF MATCH
0010-04143 - ASSY FTG ORIFICE .058 DIA CAB
0010-04145 - ASSEMBLY  THROTTLE VALVE  300MM DUAL FLA
0010-04181 - HOUSING ASSY  THROTTLE VALVE  DUAL FLAP
0010-04185 - BEZEL ASSY  MIRRA/MESA
0010-04204 - ENDURA BASIC MOTOR LIFT W/ CENTURA ASSY 0010-70254
0010-04211 - ASSY  LARGE TOWER  EMAX 300MM
0010-04212 -
0010-04213 -
0010-04214 -
0010-04215 -
0010-04217 -
0010-04219 - LOWER SENSOR ASSY  300MM ROBOT
0010-04220 - ASSEMBLY  CENTER SHAFT SENSOR  300MM ROB
0010-04222 - ASSY  LEFT FINGER  4-BAR CLAMPED WRIST
0010-04223 - ASSY  RT FINGER  4 BAR?
0010-04224 - ASSY  LEFT PIVOT  4-BAR CLAMP WRIST
0010-04225 - ASSY  RIGHT PIVOT  4-BAR CLAMP WRIST
0010-04226 - ASSY  CLAMP WRIST  4-BAR LINKAGE
0010-04234 -
0010-04235 - ASSEMBLY THROTTLE VALVE DRIVE  300MM HD
0010-04252 - OBS  ASSY  VITON  LOWER CHAMBER MODULE
0010-04259 - LOCAL AC / MAG LEV ASSEMBLY  300MM RADI
0010-04261 - NON-TOXIC ATM CHAMBER EXHAUST ASSY 300MM
0010-04272 - ASSEMBLY  SIDE AND FRONT BUTTON PANELS
0010-04279 - HUB ASSEMBLY 300MM RADIANCE
0010-04285 - ASSEMBLY  HEATERS  FVC + POST HEAT  300M
0010-04287 - H/A PDO TRAY TO FIC CABLES 4 WIDE 300MM
0010-04288 - ASSY  APPLIED DOOR OPENER (ADO)  300MM
0010-04296 - ASSY  LIQ DETECT  300MM TXZ DLI
0010-04301 - ASSY  MANIFOLD  300MM  EMAX
0010-04306 -
0010-04310 - ASSY  WAFER LIFT  HTHU HEATER W/ REFLOW
0010-04314 - ASSY  LLA SWLL LIFT HOOP  200MM  CENTURA
0010-04315 - ASSY  LLB SWLL LIFT HOOP  200MM  CENTURA
0010-04322 - ASSY  SLIT VALVE DOOR  EMAX 200MM
0010-04336 - LIQUID DELIVERY  SRD  DIW PRESS REGULATO
0010-04348 -
0010-04349 -
0010-04350 -
0010-04352 -
0010-04386 - ASSY CAPACITOR DTCU DPS 300MM
0010-04400 - ASSY  SHUTTLE HOLDER FLAT  INPUT STATION
0010-04402 - ASSY GAS PANEL DNET DISTRIBUTION 300MM T
0010-04403 - COIL ASSEMBLY 12.5 MEAN DIA DTCU 300MM D
0010-04421 - ASSY HTR 200MM 4MM CWXZ
0010-04423 - LIQUID DELIVERY  SRD  DIW PRESS REG  300
0010-04424 - ASSEMBLY  LDM ENCLOSURE  SRD  DIW PRESS
0010-04426 - ASSY  ADAPTER IMP TAN 145MM B101
0010-04428 - ASSY  LAMP HOUSING  200MM RADIANCE
0010-04432 - ASSY ESC/ RF  300MM  DZ  10" LP LOC.  EM
0010-04434 - ASSY  SECONDARY DI WATER SENSOR
0010-04440 - ASSY HTR 300MM CWXZ 4MM
0010-04442 - ASSY  UPPER DTCU  300MM DPS
0010-04448 - ASSY SNNF 200MM SUB ZERO BESC WELDMENT
0010-04449 - ASSY  HEATER  200MM WXZ CERAMIC RING  AM
0010-04450 - ASSY  SNNF 200MM SUB ZERO BESC W/MCA
0010-04451 - ASSY JMF 200MM SUB ZERO BESC WELDMENT
0010-04452 - ASSY JMF 200MM SUB ZERO BESC W/MCA
0010-04461 - GEN RF 10KW 1.8/2.0/2.3 MHZ 3-CH W/EPS T
0010-04464 - ASSY  BLADE QUARTZ W/ RADIUS EPI 200MM
0010-04465 - 200MM SIP TA MAGNET?
0010-04474 - TANK ASSEMBLY  WET QUEUE TANK
0010-04485 - ASSY  SKINS  REAR  RIGHT  UPPER  NOVA CO
0010-04487 - AP/I+  SIMPLE CATHODE  SUP  200MM  POS A
0010-04489 - AF/I+  SIMPLE CATHODE  SUP  200MM  POS B
0010-04491 - AF/I+  SIMPLE CATHODE  SUP  150MM  POS B
0010-04497 - AF/I+  SIMPLE CATHODE  SUP  150MM  POS A
0010-04503 - DOOR ASSEMBLY  300MM SWLL
0010-04504 - DOOR ASSEMBLY  300MM SWLL  CR
0010-04505 - ASP-300MM WAFER LIFT ASSY
0010-04514 - ASSY  INT  ISRM MODULE  FULL SCAN
0010-04520 - ASSY  MAIN AC ENCLOSURE ENDURA
0010-04522 - ASSY  ESC/RF  200MM NOTCH  DUAL ZONE  HA
0010-04531 - DOOR ASSY  MIDDLE LOWER   IECP
0010-04536 - ASSEMBLY  ALL QUARTZ APPLICATOR  RPN ON
0010-04537 - ASSY GAUGE PROTECTOR W/XDUCER  MESA
0010-04542 - HEATER  ASSY  200MM FC WXZPLUS OSCR
0010-04557 - ASSY  NBLLB NO NEST EP UNIV CASS  CORR R
0010-04561 - ASSEMBLY  BIASED ELECTRODE  AC BOX
0010-04573 - ENDURA MAINFRAME PURCHASED KIT
0010-04583 - MEGASONICS TANK ASSEMBLY
0010-04585 - ROLLER ASSEMBLY 1 FLAT WAFER
0010-04586 - ROLLER ASSEMBLY 2
0010-04594 - BANNER  LASER CONTROL SENSE
0010-04610 - 2W IECP MAPPER WAFER LOADER ASSEMBLY
0010-04621 - LIFT MECHANISM ASSY  INCONEL BELLOWS  30
0010-04627 - ASSEMBLY CHAMBER PNEUM 2 STATION CENTURA
0010-04628 - ASSY  DISTRIBUTION PANEL  300MM TEMPERAT
0010-04637 - ASSY PIN CERAMIC W/ORING LOADLOCK PRODUC
0010-04639 - ASSY  BRKT LEFT SAFETY BOLT
0010-04644 - TRAY ASSY  200MM OUTPUT MODULE  CMP CLEA
0010-04651 - PNEUMATIC  ASSY 4/8 PALLET  3
0010-04658 - ASSY  EXT  MAG DRIVER
0010-04659 - ASSEMBLY  COVER  300MM CROSS ASSY
0010-04661 - ASSY  CER HTR  MC HA35  300MM PRODUCER
0010-04664 - 001
0010-04666 - ASSEMBLY  NARROW BODY LL  BAR CODE READE
0010-04669 - EMAX PURGE TREE ASSEMBLY
0010-04670 -
0010-04671 - BLANKOFF  4MM PROBE  300MM RA
0010-04672 - BLANKOFF  SPARE 4MM PROBE  300MM RADIANC
0010-04675 - ASSY REFLECTOR LAMP RADIANCE CHAMBER RTP
0010-04678 - ASSY  CCM W/MARK III ENCLOSURE  DPS1-300
0010-04699 - ENCLOSURE ASSY  SYSTEM AC ENDURA
0010-04705 - WAFER PRESENCE SENSOR ASSY
0010-04708 - ASSY  LINER  HART 200MM CHAMBER
0010-04709 - ASSEMBLY  DRIVE  THROTTLE VALVE  200MM S
0010-04711 - ASSEMBLY  THROTTLE VALVE  200MM SACVD PR
0010-04721 - ASSY  HARDDISK DRIVE - 4.5 GB
0010-04737 - THROTTLE VALVE FLANGE ASSY. HDP-CVD ULTI
0010-04743 - ASSY LID CWXZ UWAVE 200MM
0010-04757 - ASSY  300MM CATHODE  DPS2 CHAMBER
0010-04762 - ASSY  CHAMBER LID  POLYIMIDE HEATER  300
0010-04776 -
0010-04779 - ENDURA BASIC INDEXER KIT CORROSION RESIS
0010-04780 - ENDURA BASIC INDEXER ASSY  NON-ENP CORRO
0010-04808 - ASSEMBLY  HPM RELAY
0010-04820 - HOSE  H20 SUPPLY OUT
0010-04843 - ASSY  INDEXER LEFT AUTOMATED LOADLOCK  E
0010-04845 - ASSY  INDEXER RIGHT AUTOMATED LOADLOCK
0010-04852 - ASSY  MEG LDM  TOWER COVER  HANDLE  300
0010-04854 - HEATER ASSY  8" NHT-NT .029 NCSR  AMJ  WXZ
0010-04857 - ASSY  CATHODE 200MM NOTCH CESC  HART 200
0010-04858 - MSCD CASSETTE  ASSY 8"  ULTIMA II
0010-04876 - ASSY. TEMPERATURE CONTROL BOX  CONDUCTOR
0010-04886 - LTESC LAMP CONTROL MODULE  300MM
0010-04889 - HOSE ASSY QUICK MALE 3/8 PEDESTAL OUT TO
0010-04904 - ASSY DRIVE FC WXZ CENTURA W/VITON
0010-04906 - ASSY DRIVE FC WXZ CENTURA W/KALREZ
0010-04918 - N P4 PAD COND BASE ASSY
0010-04926 - HV MODULE ASSY  NEGATIVE  CESC
0010-04929 - ASSEMBLY  WAFER ROLLER  BRUSH MODULE  20
0010-04930 - ASSY  HEAT EXCHANGER AC BOX  NON-NEUTRAL SYS
0010-04938 - ASSY  SOURCE SIP TTN REV 2
0010-04941 - ASSEMBLY: MAGNET SIP-CU 300MM  VERSION 2
0010-04944 - O/I WALL PNL W/: 4 POD KEYPAD
0010-04948 - ASSY  CATHODE  300MM METAL DPS-1 CHBR
0010-04961 - ASSY  ESC REMOTE AC BOX  300MM
0010-04972 - ASSEMBLY  E84 OPTO CARD WITH MOUNTING BR
0010-04974 - ASSY  A101/B101 REMOTE AC BOX  300MM
0010-04975 - ASSEMBLY  WAFER ROLLER  BRUSH MODULE  30
0010-04976 - ASSY SUSC 200MM T2 BSE
0010-04978 - HEATER ASSY  NGK HA-12 W/RF BASE  SACVD
0010-04980 - ASSEMBLY  SOURCE CONDITIONING BOX  300MM
0010-04982 - TOP COVER  SCRUBBER  HVM 300MM
0010-04983 - STOPPER ASSY  LOWER  LEFT  HVM 300MM
0010-04988 - ASSY  BE AERO FACILITIES FITTINGS
0010-04989 - TOP COIL ASSEMBLY HDP-CVD ULTIMA 1.5
0010-04990 - ASSY  CARRIER AIR BEARING PLATE 7.41 ID
0010-05000 - TOP MOUNT SUSC ASSY 150MM SILANEPLATE ST
0010-05002 - ASSY  INT. ISRM MODULE
0010-05004 - ASSY  FULL SCAN ISRM
0010-05006 - ASSY PNEUMATIC HANGER & HARNESS
0010-05014 - ASSY SMIF KICK PANEL
0010-05019 - ASSEMBLY RIGHT FACILITIES TRAY COVER/DOO
0010-05020 - ASSY  BEZEL CRT TTW SST MIRROR FINISH
0010-05024 - HEATER PLUG ASSY  200MM  LPCVD
0010-05025 - 4-ROLLER ASSY  SINGLE BELT  200MM  BRUSH
0010-05026 - ASSY  MIRROR HSG  FIBER OPTIC  300MM DPS
0010-05027 - SPARE 5" SUSCEPTOR (PLATE STOCK)
0010-05029 - COVER ASSEMBLY  SAFETY  RADIANCE 200MM
0010-05030 - HEATER ASSY DUAL ZONE H17 RIGID TERMINAL
0010-05034 - ASSY  DISPENSE ARM  LINK  300MM IBC  ECP
0010-05045 - FIXTURE ASSY. MEMBRANE SUPPORT  TITAN II
0010-05047 - ASSY.SUSCEPTOR 200MM TIC-CVD
0010-05064 - ROTATION ASSY  CH A/B LIFT  300MM ENDURA
0010-05080 - ASSY  PNEUMATIC VALVE  W/ LOWER EXHAUST
0010-05083 - MANIFOLD ASSY ZONE 3/MM UPA 300MM
0010-05084 - MANIFOLD ASSY ZONE-2  4-PORT IUPA 300MM
0010-05085 - MANIFOLD ASSY ZONE 1/IT UPA 300MM
0010-05100 - GRIPPER ASSY  DRIVE SIDE
0010-05101 - GRIPPER ASSY  WATER SIDE
0010-05106 - P4 PAD COND BELTLESS (N-BOM)
0010-05109 - ASSEBMLY  3 PORT FI CONTROLLER  300MM 4.
0010-05118 - BASE FIXTURE  8" TITAN II HEAD
0010-05144 - FLOOR PANEL ASS  LEFT  300MM FI  4 WIDE
0010-05145 - FLOOR PANEL ASS  RIGHT  300MM FI  4 WIDE
0010-05146 - FLOOR PANEL ASSY. CENTER  300MM FI  4 WI
0010-05147 - FLOOR PANEL ASSY. MIDDLE  300MM FI  4 WI
0010-05154 - ASSY SUS .271 THK 200MM BWCVD
0010-05155 - BEZAL W/STATUS LIGHTS AND TURN TO RELEAS
0010-05157 - 003
0010-05162 - SLED ASSY  W/ COVER  PHILLIPS LAMPS
0010-05171 - ASSY IO DOOR  VACUUM  300MM
0010-05175 - SUSC ASSY T2 WELDED 200MM MANUAL BEAD BL
0010-05182 - ASSY  HE PRESSURE CONTROL  200MM RADIANC
0010-05187 - ASSY  WET QUEQUE NEST
0010-05193 - ASSY  SPINDLE  200MM IBC  ECP SF3
0010-05197 - 6 IN SILANE SUSCEPTOR ( PLATE STOCK)
0010-05198 - ASSY SUSCEPTOR BB TEOS 200MM
0010-05207 - ASSY  TEOS LOWER  CH. A/B/C  300MM PRODU
0010-05210 - ASSY  N2 PURGE  UNIT  200MM RADIANCE
0010-05221 -
0010-05223 - ASSEMBLY  200MM PLASMA CELL  END PT DETE
0010-05254 - ASSY  HEATER  8"  DXZ
0010-05255 - ASSY  MEGASONICS TANK  300MM HVM
0010-05256 - OUTSOURCED ASSY  HEATER  6"  DXZ
0010-05257 - OUTSOURCED DXZ HTR ASSY  8"  FORGED ALUM
0010-05259 - ASSY CHAMBER CONTROL MODULE  EMAX 300MM W/FLEX RIGID BD
0010-05266 - ASSY.   HEAD MOTOR LIFT FIXTURE
0010-05281 - TOP ASSY  N2 PRG + LAMP PRG  UNIT  200MM
0010-05282 - ASSY CLEAR LID 300MM XGEN
0010-05284 - ASSY  HALOGEN LAMP  300MM LT ESC
0010-05289 - BRUSH MOUNT ASSY  FLOW THRU
0010-05294 - THROTTLE VALVE W/INTEG DRV ASSY  300MM P
0010-05308 - ASSEMBLY  CHAMBER PNEUMATICS  CENT  TPCC
0010-05311 - MEGASONICS PS CONTROL BOX  300 HVM
0010-05321 - ASSEMBLY  CDA REGULATORS  CENTURA AP
0010-05349 - ASSY  LINK 2  SBR CR ROBOT  300MM
0010-05365 - ASSEMBLY  LIQUID DELIVERY MODULE  DIRECT
0010-05368 - DUAL SENSOR DRIP PAN REFLEXION 300MM
0010-05370 - ASSEMBLY 15IN RF COIL 300MM DPS2
0010-05371 - ASSEMBLY 7IN RF COIL 300MM DPS2
0010-05372 - ASSEMBLY 15" - 7" RF COIL 300MM DPS2
0010-05376 - MATCH  2MHZ  RF ICE REV 3.1  300MM VECTR
0010-05385 - SHUTTLE HOLDER ASSY  FLAT WAFER OPT
0010-05387 - FLAT PANEL DISPLAY ENCLOSURE A
0010-05388 - FLAT PANEL DISPLAY ENCLOSURE B
0010-05398 - BRUSH MOUNT ASSY  DRIVE
0010-05403 - HEAD MOTOR REMOVAL TOOL ASSY  SYSTEM LIF
0010-05404 - CLEANER UPPER ELECTRONICS REMOVAL TOOL S
0010-05405 - FLAT PLATE FORK ADAPTOR ASSY  SYSTEM LIF
0010-05406 - CROSS BRAKE MOTOR REMOVAL TOOL ASSY
0010-05407 - Platen Motor Controller Tool System Lift
0010-05408 - PLATEN REMOVAL TOOL SYSTEM LIFT
0010-05409 - POLISHER UPP ELEC REMOVAL TOOL ASSY SYST
0010-05410 - CROSS BRAKE REMOVAL TOOL ASSY  SYSTEM LI
0010-05411 - PLATEN MOTOR REMOVAL TOOL SMALL MOTOR SY
0010-05412 - MEGASONIC LDM REMOVAL ASSY  SYSTEM LIFT
0010-05413 - BRUSH LDM REMOVAL ASSY  SYSTEM LIFT
0010-05414 - WET ROBOT TOOL  SYSTEM TOOL
0010-05415 - Fork Assembly System Lift
0010-05416 - Clamp Fork Extension Assembly System Lif
0010-05417 - WALKING BEAM REMOVAL TOOL ASSY  SYSTEM L
0010-05418 - CLEANER FLUID CHAMBER REMOVAL TOOL ASSY
0010-05419 - DIRECT DRIVE MOTOR REMOVAL TOOL ASSY  SY
0010-05421 - PLATEN PULLEY REMOVAL ASSY  SYSTEM LIFT
0010-05422 - PRY BAR PLATEN REMOVAL TOOL ASSY  SYSTEM
0010-05425 - ASSY  HOSE  CHAMBER LINER  IX  SS/TFE SU
0010-05426 - ASSY  HOSE  CATHODE LINER  IX  SS/TFE RE
0010-05428 - ASSY  HOSE  CATHODE LINER IX  SS/TFE RET
0010-05434 - MOUNTING PLATE ASSY HVM 300MM INTEL
0010-05435 - BASE PLATE ASSY HVM 300MM INTEL
0010-05449 - HOSE 75FT  INSULTED NESLAB  SUPPLY & RET
0010-05466 - LIFT ASSY  300MM LPCVD  SINGEN
0010-05478 - ASSY  MONOCHROMATOR CONTROL BOX  300MM
0010-05483 - BCR  ELECTRICAL ENCLOSURE  MUX/PWR PRODU
0010-05495 - HOSE ASSY  ASTRON SUPPLY  LIN
0010-05496 - HOSE ASSY  ASTRON RET.  LINE #2  CH. C
0010-05497 - HOSE ASSY  CH. BODY SUPPLY  LINE #3  CH.
0010-05499 - HOSE ASSY  CH. LID RETURN  LINE #4  CH.
0010-05500 - HOSE ASSY  HTR BASE SUPPLY  LINE #6  CH.
0010-05501 - HOSE ASSY  HTR BASE RETURN  LINE #7  CH.
0010-05544 - ASP-300MM W/200MM HOOP WAFER LIFT ASSY
0010-05562 - CATHODE ASSY. 150MM  SMF W/WTM ULTIMA
0010-05574 - ASSEMBLY  P5000 ZA MK-II SLIT VALVE 513
0010-05597 - OUTSOURCE ASSY  ISO VALVE  300MM PRODUCE
0010-05606 - LIFT PIN ACTUATOR  RADIANCE
0010-05610 - ASSY  BELT TENSIONER  INTERNAL  BRUSH MO
0010-05611 - NON-PVD & NON-OCR ORIENTER CHAMBER
0010-05612 - NON-PVD & NON-OCR ORIENTER W/ GIMBAL
0010-05614 - BEZEL ASSEMBLY  MIRRA MESA
0010-05615 - MINIFOLD ASSY. 200MM TITAN II HEAD
0010-05617 - ASSEMBLY  WATER PLENUM  300MM DPS2
0010-05623 - UPPER ASSEMBLY  200MM TITAN II HEAD
0010-05669 - ROLLER ASSEMBLY 1  MEG HVM 300MM
0010-05670 - ROLLER ASSEMBLY 2  MEG HVM 300MM
0010-05679 - ROLLER MOUNT ASSY  ROLLER ASSY BRUSH MOD
0010-05680 - ASSY USER I/O AND EMO I/O 300MM CMP
0010-05686 - SPRAY BAR ASSY  HIGH PRECISION BRUSH MOD
0010-05692 - ASSY.  HEATER  PURGE  JMF  200MM  HP TXZ
0010-05693 - ASSY  DUAL DEGAS DRIVER 200V-ENDURA SL
0010-05694 - FULL SAA  UPPER DPS CHBR  QUARTZ  VITON
0010-05695 - FULL SAA  UPPER DPS CHBR  QUARTZ  CHEMRA
0010-05696 - FULL SAA  UPPER DPS CHBR  QUARTZ  KALREZ
0010-05702 - FULL SAA  UPPER DPS CHBR  SAPPHIRE  VITO
0010-05704 - FULL SAA  UPPER DPS CHBR  SAPPHIRE  KALR
0010-05705 - FULL SAA  UPPER DPS CHBR  SAPPHIRE  CHEM
0010-05709 - ASSY  DOME SIDE GAS FEED  ROUGH  300MM D
0010-05716 - ASSY  MODULE  LIQUID DELIVERY  MEGASONIC
0010-05744 - ASSEMBLY  300MM PLASMA CELL  END PT DETE
0010-05758 - SIDE I/O ASSY  2.1 CONTOLLER  300MM CENT
0010-05760 - FRONT DOOR ASSY  2.1 CONTROLLER  300MM C
0010-05772 - ASSY  LOWER ELECTRONIC BOX  HI-FLOW
0010-05773 - ASSY  LOWER ELECTRONIC BOX  LO-FLOW
0010-05774 - ASSY  LOWER ELECTRONIC BOX  BRUSH 2  DIR
0010-05775 - ASSY  LOWER ELECTRONIC BOX  BRUSH 1  DIR
0010-05791 - ASSY  CLAMP LID  KALREZ  EMAX 200MM
0010-05792 -
0010-05809 - ASSEMBLY  .030UF BLOCKING CAPACITOR
0010-05813 - ASSY  ICE 2MHZ RF MATCH
0010-05825 - ASSY OTF  200MM  FI 5.3
0010-05836 - Door  assy. Slit valve
0010-05839 - ASSY  NO-LAP  NOTCHED-OXIDE  8"TITAN HEA
0010-05846 - ASSY  HIGH EFFICIENCY RF MATCH-BIAS  300
0010-05856 - HEATER ASSY 300MM DUAL ZONE H17 D13 RIGI
0010-05857 - ASSY  CLEANCUP  REFLECTION 300MM
0010-05858 - ASSEMBLY  HEATSINK & MOTOR  THROTTLE DRIVE
0010-05861 -
0010-05862 -
0010-05869 - ASSY 4" CASSETTE HNDL  SPARES
0010-05871 - ASSY 5" CASSETTE HNDL  SPARES
0010-05872 - ASSY 6" CASSETTE HNDL  SPARES
0010-05873 - ASSY 8" CASSETTE HNDL  SPARES
0010-05885 - THROOTTLE VALVE  ASSEMBLY  DRIVE 300MM E
0010-05904 - ASSY  200MM PROD. UNIVERSAL SIGMA TV W/I
0010-05925 - HOSE ASSY
0010-05926 - HOSE ASSY
0010-05927 - HOSE ASSY  AMAT-0 / STEELHEAD-0  SUPPLY
0010-05930 - ASSY  HEAT EXCHANGER
0010-05932 - 001
0010-05934 - ASSEMBLY FILTER BOX  CHAMBER LID  300MM
0010-05940 - MAGNET ASSY
0010-05955 - ASSY  POST CHAMBER
0010-05959 - ASSY  CATHODE  200MM FLAT CESC  IS  5000
0010-05960 - ASSY  CATHODE  200MM FLAT CESC  IS  5000
0010-05961 -
0010-05962 - ASSY  CATHODE  200MM NOTCH CESC  IS  500
0010-05963 - ASSY  CATHODE  200MM FLAT CESC  IS  5200
0010-05964 - ASSY  CATHODE  200MM NOTCH CESC  IS  520
0010-05965 - ASSY  ESC/RF  200MM FLAT  DUAL ZONE  SUP
0010-05966 - ASSY ESC/RF  200MM  NOTCH DUAL ZONE  SUP
0010-05968 - HEATER ASSEMBLY ANNEAL 300MM IECP
0010-05970 -
0010-05971 - ASSY  ESC/RF  200MM  NOTCH  EMAX
0010-05972 - ASSY CATHODE  200MM FLAT CESC  EMAX
0010-05973 -
0010-05985 - ASSY  LIGHT CURTAIN SHELL  LEFT
0010-05987 - ASSY  LIGHT CURTAIN SHELL  RIGHT
0010-05988 - ASSEMBLY SLIT VALVE DOOR DPS II
0010-05990 - HEATER ASSY  VACUUM CHUCK  300MM PRODUCE
0010-05991 - COVER ASSY  BALANCED SIDE MATCH
0010-05994 - ASSY ESC/RF 200MM NOTCH DZ OUTER RIM EMA
0010-05995 - ASSY ESC/RF 200MM FLAT DZ OUTER RIM EMAX
0010-05999 - CLAMP RING ASSY UPPER ATM  EPI 300MM
0010-06002 - 300MM NON-ENP REV 3 SLIT VALVE ASSY EQ L
0010-06018 - ASSY RT  MAINFRAME AC CHANNEL  RT CONV O
0010-06023 - REAR DOOR TANOX CHAMBER  TPCC
0010-06024 - APPLICATOR FLOW SWITCH ASSEMBLY  HDP
0010-06040 - SUCTION CUP END EFFECTOR
0010-06042 - ASSY  GPLISIIIA  ENCLOSURE  PRODUCER S
0010-06062 - ASSY  CLAMP LID  KALREZ  300MM
0010-06063 - ASSY  CLAMP LID  CHEMRAZ  300MM
0010-06064 - ASSY  CCM MODULE  EMAX  300MM
0010-06065 - ASSY  SLIT VALVE DOOR  EMAX  300MM
0010-06066 - ASSY  BODY MOD  CHEMRAZ  EMAX  300MM
0010-06067 - ASSY  BODY MODULE  KALREZ  EMAX  300MM
0010-06069 - ASSY  DATUM PLATE  PRODUCER FI
0010-06072 - ASSY  PC HEAD MODULE W/ABT SNAP ON HOLDE
0010-06073 - ASSY  PC HEAD MODULE W/ABT UNIVERSAL HOL
0010-06077 - ASSY  LID  TISIN  200MM
0010-06092 - ASSY PUMP COPPER SLURRY  300MM (N)
0010-06093 - RPC+ ASSY PEDESTAL LIFT
0010-06103 - HOSE ASSY ASP APPLICATOR SUPPLY
0010-06104 - ASSY CERAMIC PIN W/ORING 200/300MM PRODU
0010-06117 - TROTTLE VALVE ASSY  ULTIMA HDP-CVD
0010-06118 - THROTTLE VALVE FLANGE ASSY. HDP-CVD ULTI
0010-06121 - ASSY  ENHANCED DTCU  DPS
0010-06128 - WXZ HEATER AMJ SEASONING?
0010-06131 - PRODUCER CHAMBER RACK ASSY POSITION A NI
0010-06132 - PRODUCER CHAMBER RACK ASSY POSITION B NI
0010-06133 - PRODUCER CHAMBER RACK ASSY POSITION C NI
0010-06140 - SHUTTER ASSEMBLY 300MM CL
0010-06142 - SHUTTER GARAGE HOUSING ASSEMBLY
0010-06168 - FLOOR PANEL ASS  LEFT 300MM FI  4.0
0010-06169 - FLOOR PANEL ASSY  RIGHT  300MM FI  4.0
0010-06170 - FLOOR PANEL ASS  LEFT CENTER  300MM FI
0010-06193 - ASSY WATER MANIFOLD W/VODM FILTER
0010-06203 - ASSY  FJKN HT TIN W/IMPROVED TICL4 DUMP
0010-06205 - 8" SNNF MCA E-CHUCK Ni Plated w/ Plug
0010-06222 - ASSY  ES DTCU  POLY DPS CHAMBER
0010-06223 - ASSY  RF COIL  ES DTCU  POLY DPS CHAMBER
0010-06229 - ASSY  ESC/FR  200MM NOTCH  197.5MM OD  D
0010-06234 - CATHODE ASSY  SNNF W/WTM  200MM ULTIMA X
0010-06243 - ASSY.  DIFF PRESS SW (CSI)  5-30MM H2O
0010-06261 - CATHODE ASSY  300MM HDPCVD
0010-06264 - THROTTLE VALVE W/INTEG DRV ASSY  300MM P
0010-06265 - POLISHER ALIGNMENT FIXTURE  REFLEXION
0010-06266 - CLEANER ALIGNMENT FIXTURE  REFLEXION
0010-06274 - MODULE MEG LIQUID DELIVERY MESA
0010-06277 - LDM ASSY BRUSH DIRECT FEED
0010-06285 - OUTSOURCED  ASSY  PLASMA CELL  IN LINE E
0010-06286 - OUTSOURCED  ASSY  POWER MODULE  208VAC
0010-06314 - ASSY  HEATER  BRAZED  300 MM
0010-06319 - ASSY  DTCU  300MM POLY  DPS-1
0010-06322 - SLIT VALVE ASSY  ACTUATOR  ULTIMA HDP-CV
0010-06354 - CHILL PLATE ASSY  12 LAMP POSITION  LOWE
0010-06366 - HOSE ASSY  CH A  SUPPLY WCBC
0010-06370 - HOSE ASSY  CH A  RETURN WCBC
0010-06377 - ASSY TOP COVER MEG  300MM HVM CLEANER
0010-06378 - LOCAL MATCH ASSY  BALANCED TOP  300MM HD
0010-06379 - LOCAL MATCH ASSY  BALANCED SIDE  300MM H
0010-06380 - GROUND SHIELD ASSY  300MM HDPCVD ULTIMA
0010-06383 - ASSY  IHC  DUAL ZONE  100TORR/50SCCM  HA
0010-06388 - NOVA UPPER ELECTRONICS MOUNTING HOUSING
0010-06389 - NOVA A.C. POWER BOX ASSEMBLY 300MM REFLE
0010-06400 - ASSY  HOSE RPN F/S TO FACILITIES  TPCC
0010-06403 - ASSY  CAP BANK  BAL SIDE COIL UPPR CH UL
0010-06408 - ASS  LID/XFER CH. ENP  300MM V4.0
0010-06410 - ASSY  NOZZLE  DI WATER  IECP
0010-06412 - ASSY  LIFT/SPIN  SRD 200MM  IECP
0010-06413 - ASSY  SPIDER  SRD 200MM  IECP
0010-06421 - ASSY  COOLING MANIFOLD  EMAX  300MM
0010-06433 - ASSY WAFER ROLLER BRUSH MODULE 300MM HVM
0010-06434 - MANIFOLD ASSY RR 4-PORT UPA REFLEXION
0010-06440 - DRIVE ASSY  HARD DRIVE 9.2 GB  WINDOWS N
0010-06442 - ASSY  SOURCE CONDITIONING BOX
0010-06444 - ASSY DIGITAL ISRM
0010-06451 - OUTSOURCED ASSY  PLASMA CELL ENDPOINT  3
0010-06464 - ASSY  SZ BESC MOTORIZED LIFT
0010-06468 - FEEDTHROUGH ASSEMBLY (REF. 7801-B-459/67
0010-06485 - HOSE ASSEMBLY  TURBO SUPPLY LINE  CHAMBE
0010-06486 - HOSE ASSEMBLY  TURBO RETURN LINE  CHAMBE
0010-06491 - ASSEMBLY  CHILLER  CFC-FREE  HX-150 W/CH
0010-06498 - ASSY  DC CONTACT BLOCK  RIGHT  200MM SIP
0010-06499 - ASSY  DC CONTACT BLOCK  LEFT  200MM SIP+
0010-06513 - ASSY END POINT DETECTOR/PLASMA CELL WXZ
0010-06516 - 8" HDP WAFER ORIENTER W/GIMBAL
0010-06520 - KIT  SOURCE  300MM VECTRA IMP
0010-06534 - ASSY BODY MOD KIT NSO  SL120 KALREZ EMAX
0010-06544 - ASSY  THROTTLE VALVE  DUAL FLAP  SC  200
0010-06568 - WATER MANIFOLD ASSEMBLY  300MM HDPCVD UL
0010-06570 - REPLACED WITH 0010-14299
0010-06573 - ASSY CATHODE  200MM NOTCH DZ CESC REV2
0010-06579 - LIGHT ASSEMBLY  UPPER FRAME MODULE  EPI
0010-06583 - ASSY MEGASONICS TANK
0010-06597 - CLEAR LID ASSEMBLY  ENHANCED 300MM PRODU
0010-06598 - ASSEMBLY  ALN HEATER LIFT 300MM TI/TIN
0010-06607 -
0010-06671 - 001
0010-06672 - 001
0010-06679 - ASSY  ISO VALVE  300MM PRODUCER
0010-06681 - ASSY  LEFT HAND  PIVOT  HP+/VHP+ ROBOT W
0010-06682 - ASSY  RIGHT HAND  PIVOT  HP+/VHP+ ROBOT
0010-06691 - 15 VAC POWER SUPPLY ASSY
0010-06700 - ASSY 2MM PYROMETER RADIANCE CHAMBER INTE
0010-06710 - LIQUID DELIVERY MODULE BRUSH PRESSURIZED
0010-06711 - LIQUID DELIVERY MODULE BRUSH PRESSURIZED
0010-06713 - RELAY ASSY  BAL SIDE COIL  SCR RETROFIT
0010-06714 - PLC ASSY  BAL SIDE COIL RETROFIT GENRACK
0010-06715 - MANIFOLD ASSY RR UPA 300MM REFLEXION
0010-06716 - ASSY 3-PORT TITAN-I UPA 300MM REFLEXION
0010-06725 - TPCC COMMON CH TRAY  B/D SIDE
0010-06734 - DURASEAL SLIT VALVE DOORS ASSY.
0010-06748 - MAGNET  SOURCE?
0010-06753 - ASSY  ESC WATER BOX  300MM
0010-06759 - LOCKING MECHANISM ASSEMBLY  FA PLATEN RE
0010-06777 - ASSY  SPIDER  SRD 300MM  IECP
0010-06788 - ESC  ASSY  300MM DPS2
0010-06790 - ASSY  BRUSH MODULE UPGRADE W/QC 4.0  200
0010-06792 - ASSY  SOURCE CONDITIONING BOX  ULTIMA PL
0010-06800 - ASSY  FLOWMETER  HPR1 AND HPR2  DI WATER
0010-06801 - FLOWMETER  HPR3  DI WATER  MIRRA CMP
0010-06802 - FLOWMETER  HCLU  DI WATER  MIRRA CMP
0010-06815 - COOLING TUBE ASSY  CERAMIC HEATER  NGK 2
0010-06825 - HEATER ASSY  200MM CERAMIC NGK 2.2 GIGA-
0010-06827 - TOP COIL ASSEMBLY 200MM ULTIMA+ PH2 HDPC
0010-06834 - ASSY  CHAMBER GFP  ULTIMA HDPCVD
0010-06843 - ASSEMBLY  CENTER WAFER STORAGE  CORROSIO
0010-06845-20 - VACUUM SENSOR
0010-06849 - HOSE ASSEMBLY  TURBO SUPPLY LINE  CHAMBE
0010-06850 - HOSE ASSEMBLY  TURBO RETURN LINE  CHAMBE
0010-06855 - 001
0010-06856 - 001
0010-06857 - ASSY  BRUSH PRESSURIZED LOW-FLOW LDM W/V
0010-06863 - ASSY APPLICATOR 1.5KW UWAVE WXZ VER 2.0
0010-06864 - ASSEMBLY  NOTCH ALIGNER 300MM FI
0010-06875 - 200MM PCII E MCA GRV .1MM DEEP PUCK HV
0010-06888 - MATCH  2MHZ  RF ICE  300MM ELECTRA IMP
0010-06916 - ASSY  50FT CHILLER HOSE
0010-06922 - ASSY. SYMMETRIC GAS RING 18 PORTS W/RF G
0010-06928 - 5.3 FI  LEFT CENTER FLOOR PANEL ASSY
0010-06937 - ASSY  CONTROLLER VIDEO BULKHEAD
0010-06950 - ASSY  SMART PIN  BDS
0010-06957 - ASSY BULB  FUSED 750 WATT QUARTZ TUNGSTE
0010-06961 - LOWER CHAMBER  VITON  MAGLIFT  CLAMP RIN
0010-06980 - ASSY  DUAL PRESSURE REGULATOR  300MM ULT
0010-06982 - PHASE IV-H RF MATCH ETCH MXP+
0010-06983 - PHASE IV-H RF MATCH ETCH MXP
0010-07004 - ASSY CAROUSEL BOTTM EXTENDED
0010-07005 - ASSY ELEVATOR CLMN EXTENDED
0010-07006 - EXTENDED ELEVATOR CARRIAGE
0010-07017 - ASSY CATHODE LIFT W/SERVO MOTOR PRODUCER
0010-07027 - ROLLER ASSEMBLY 1 NOTCHED WAFER
0010-07028 - ROLLER ASSEMBLY 2 NOTCHED WAFER
0010-07033 - ASSEMBLY  TEOS MIDDLE 1  CH. B  PROD S
0010-07040 - TRAY ASSEMBLY  KEYBOARD
0010-07042 - OUTSOURCED ASSY CER HTR MCHA35 300MM PRO
0010-07061 - IHC ASSY DUAL ZONE 50SCCM 300MM DPSII
0010-07080 - ASSY. TUNGSTEN PLUS W/Z  8" TITAN HEAD
0010-07093 - ASSY  3 PORT FI CONTROLLER  300MM
0010-07094 - ASSY  4 PORT FI CONTROLLER  300MM
0010-07104 - SUPPLY HOSE ASSY  CH-B LOOP 2  EPI 300MM
0010-07121 - ASSY  HOSE AMAT-O/STEELLHEAD-O BYPASS LO
0010-07124 - LID ASSY W/O MIXER LPCVD 300MM
0010-07136 - LOWER CHAMBER  CHEMRAZ  MAGLIFT  CLAMP R
0010-07139 - ASSY  HOSE AMAT-1/STEELHEAD-1 BYPASS LOO
0010-07147 - HOSE ASSY  RPS-II SUPPLY  LIN
0010-07148 - HOSE ASSY  RPS-II RET.  LINE-
0010-07155 - ASSEMBLY  TOP MATCH  ULTIMA X 200MM  HDP
0010-07156 - ASSEMBLY  SIDE MATCH  ULTIMA X 200MM  HD
0010-07158 - STOPPER ASSY UPPER LEFT SCRUBBER 200MM
0010-07173 - ASSY  THROTTLE VALVE BLANKOFF  POLY DPS
0010-07213 - ASSY  ESC/RF  300MM  DZ  OUTER RIM  EMAX
0010-07215 - ASSY  CATHODE  300MM  ESC/RF  EMAX
0010-07236 - HOSE ASSY HEAT EX SUPPLY /LID IN CH. B MC
0010-07252 - CHAMBER PORT ASSEMBLY
0010-07261 - ASSY  WIRE FEED  300MM IBC  IECP
0010-07263 - LLA 300MM SWLL AP DEGAS / PREHEAT ASSEMB
0010-07264 - LLB 300MM SWLL AP DEGAS / PREHEAT ASSEMB
0010-07276 - ASSY  DAMPENED 8" TITAN HEAD
0010-07277 - ASSY  CARRIER PLATE  DAMPENED
0010-07284 - POSITION A AND C  ZONE C  WATLOW HEATER
0010-07293 - ASSEMBLY  CHAMBER LID  IEP  300MM DPS2
0010-07294 - ASSY  CLAMP WRIST  4-BAR LINKAGE
0010-07307 - ASSY  ISO VALVE  300MM PRODUCER
0010-07314 - ASSY  CASSETTE HANDLER  W/O TILTOUT  20
0010-07316 - ASSY  CASSETTE HANDLER  W/ TILTOUT  200M
0010-07322 - MANIFOLD ASSEMBLY  4ZA SLIT VALVE W/ REG
0010-07323 - ASSEMBLY MIRROR HOUSING  IEP 300MM DPS2
0010-07331 - ASSY  LLA SWLL LIFT HOOP  200MM  CENTURA
0010-07332 - ASSY  LLB SWLL LIFT HOOP  200MM  CENTURA
0010-07343 - HOSE ASSY 1/2 IO UPPER HT SHIELD?
0010-07348 - BLANK-OFF  DPS METAL  THROTTLE VALVE
0010-07353 - BRUS BAR ASSEMBLY
0010-07365 - ASSY  PCII E H2O VALVE?
0010-07374 - SHAFT ASSY  IPS NOR-CAL PRESSURE CONTROL
0010-07409 - 200MM EMAX  IRM LID ASSEMBLY
0010-07410 -
0010-07412 - PUMP SLIDE ASSY  208VAC  200MM PRECLEAN
0010-07419 - ASSEMBLY  SYSTEM CONTROLLER 20SL
0010-07423 - ESC ASSY  300MM ALN  ORG BOND
0010-07437 - SIDE LOCAL MATCH ASSY  ULTIMA HDPCVD
0010-07455 - ASSY NOVA WAFER HANDLER REFLEXION 300MM
0010-07457 - ASSY Z-MECH NOVA WAFER HANDLER REFLEXION
0010-07476 - 300MM PVD CHAMBER CLEAR LID VIEWPORT
0010-07495 - ASSY  DARK SIN  LEFT ROBOT DOOR  MIRRA 2
0010-07496 - ASSY  DARK SIN  RIGHT ROBOT DOOR  MIRRA
0010-07506 - ASSY  CERAMIC ESC  DUAL ZONE  HART 300MM
0010-07524 - PURCHASED ASSY  IU HP TXZ PUMPING PLATE
0010-07535 - STANDARD DOME  UNIVERSAL  E-DTCU  ASSY
0010-07536 - GROUND SHIELD ASSEMBLY  ULTIMA+ PH.2  HD
0010-07537 - THROTTLE BODY ASSY  SIGMA PLUG
0010-07538 - ASSY  REMOTE AC BOX 300MM NON-BIAS ESC
0010-07540 - ASSY  WATER BOX  300MM SLT ESC
0010-07544 - MEGASONIC TANK ASSY NOTCHED WAFER
0010-07545 - MEGASONIC TANK ASSY FLAT WAFER
0010-07551 - BRACKET
0010-07560 - LIFT MECHANISM ASSY  INCONEL BELLOWS  30
0010-07563 -
0010-07564 - ASSY  P5000 FUSED DC POWER SUPPLY W/TERM BLOCK
0010-07566 - SUB ASSEMBLY  CROSS BRAKE
0010-07579 - BRACKET  CLIP 1  LEFT  LOWER DOOR SUPPOR
0010-07586 - ASSY HUB RADIANCE CHAMBER RETROFITED
0010-07589 - ASSY  QUARTZ BLADE  200MM MCVD PRODUCER
0010-07595 - ASSY.  HEATER  BLOCK  BARATRONS + FORELI
0010-07602 -
0010-07603 -
0010-07604 - ASSY  CLAMP LID  CHEMRAZ  HYBRID CESC  EMAX 300MM
0010-07605 - ASSY  CLAMP LID  KALREZ  HYBRID CESC  EM
0010-07620 - ASSEMBLY  ISCAN MODULE
0010-07636 - CASSETTE ASSY  8" 26 SLOT METAL CASS-TEF
0010-07659 -
0010-07698 - ASSEMBLY  10 X 620PF NOVA CAP BRACKET
0010-07699 - BOOM ARM XFER CHAMBER LID LIFT PRODUCER
0010-07700 - ASSEMBLY  9 X 620PF NOVA CAP BRACKET
0010-07725 - PLATE ASSY - DISTRIBUTION PANEL COVER -
0010-07727 - ASSY  3 PORT FI CONTROLLER 300MM  INTEL
0010-07728 - ASSY  4 PORT FI CONTROLLER  300MM  INTEL
0010-07748 - ASSEMBLY  GROUND SHIELD  300MM ULTIMA PL
0010-07754 - ASSY  LIFT X-Y ADJ. XGEN 300MM PRODUCER
0010-07764 - BRACKET  ALIGNMENT  CONE
0010-07765 - BRACKET  INPUT-OUTPUT  ALIGNMENT
0010-07771 - ASSY.  HEATER  FRONT  POST HEAT  W/VALVE
0010-07772 - ASSY.  HEATER  BACK  POST HEAT  W/VALVE
0010-07784 - TIMING PULLEY ASSY  INTERNAL BRUSH 200/3
0010-07815 - ASSY  300MM SLT ESC WITH CENTER TAP META
0010-07824 - HARNESS ASSY
0010-07849 - 200MM PCII E LTBESC  WAFER LIFT ASSEMBLY
0010-07850 - ASSEMBLY  CHAMBER LID  200MM WALD
0010-07879 - MANIFOLD ASSEMBLY  MAIN H20  PRODUCER SE
0010-07886 - ASSY  SMART PIN  BDS 6 SHORT
0010-07893 - ASSY TEF HOSE W/INSULATION  AMAT-1/STEEL
0010-07899 - OUTSOURCE HEATER ASSY NGK HA-12 RF BASE
0010-07903 - LDM ASSY BRUSH DIRECT FEED 300MM
0010-07938 - HEATER ASSY 300MM DUAL ZONE H17 D13 RIGI
0010-07939 - ASSY  DARK PAD WAFER LOSS SENSOR
0010-07940 - ASSY  4 PORT UPA
0010-07946 - ASSY ESC/RF 200MM FLAT DZ HI FLOW OUTER
0010-07947 - ASSY  VLV & FLWMTR MANIFOLD
0010-07955 - DRIVE ASSY HARD DRIVE 9.2GB WIN6.0A UPGR
0010-08008 - HOSE ASSY  3/8 LAMP HSG FLANGE FCW  RETU
0010-08017 - ASSY 8" SNNF SLT ESC W/HTR
0010-08044 - BRACKET AND SWITCH ASSY  EMO
0010-08054 - ASSEMBLY  REMOVABLE COVER  NOVA MU  200M
0010-08055 -
0010-08061 - Viewport Assy  300mm Preclean Chamber
0010-08066 - WAFER LIFT PIN ASSY  200MM  DPS  CERAMIC
0010-08072 - ASSY  TRANSFORMER  5.3 FI
0010-08098 - ASSEMBLY  LIGHT CURTAIN FRAME  SKIN & SE
0010-08099 - ASSEMBLY  LIGHT CURTAIN FRAME  SKIN & SE
0010-08102 - ASSY  SHAFT ADAPTOR   VARIABLE CAP  IMP RF MATCH
0010-08103 - 001
0010-08113 - ASSY  INPUT GRIPPER  UPGRADE  200MM MESA
0010-08114 - ASSY  GRIPPER  UPGRADE  200MM MESA
0010-08115 - WATER MANIFOLD ASSEMBLY  300MM HDPCVD UL
0010-08123 - ASSEMBLY  TEOS MIDDLE 2  CH B  PROD S SP
0010-08139 - ASSY  DOME TEMPERATURE CONTROL  300MM UL
0010-08148 - PEDESTAL LIFT ASSY
0010-08154 - GEAR ASSEMBLY 200MM MESA
0010-08164 - ASSY  ATMOSPHERIC MAGNET RING  HP
0010-08173 - ASSY  SOURCE CONTROL (SCA)  DPN  200MM
0010-08177 - 001
0010-08178 - ASSY  UPPER ATMOSPHERIC MAGNET RING  HP
0010-08181 - ASSY  OUTSIDE NOVA SHOCK ABSORBER  REFLE
0010-08185 - ASSY  ORIENTER 650NM LASER OPTICS
0010-08188 - ASSY CATHODE  200MM FLAT DZ CESC REV2  H
0010-08199 - ASSY  ORIENTER LASER OPTICS
0010-08207 - ASSY  BLOCK  1-1/8" SM  MFC SUPPORT
0010-08211 - ASSY  BLOCK  1-1/8" SM  STANDARD VCR INL
0010-08215 - CASS HANDLER ASSY 26 SLOT EP NBLLA  W/2
0010-08222 - THERMAL SHIELD  LID COVER  W/GATE VALVE
0010-08223 - ASSY  MOUNTING PLATE  BRUSH BOX  HVM300
0010-08224 - IDLER   BELT TENSIONER  BRUSH  HVM 300
0010-08247 - ASSEMBLY I/O PCB CMP FIXED ABRASIVE
0010-08265 - HEATER ASSY  MC HA12  300MM PRODUCER
0010-08270 - HEAT EXCHANGER HOSE ASSEMBLY SUPPLY  75F
0010-08271 - HEAT EXCHANGER HOSE ASSEMBLY RETURN  75F
0010-08274 - HEAT EXCHANGER HOSE ASSEMBLY SUPPLY  50F
0010-08275 - HEAT EXCHANGER HOSE ASSEMBLY  RETURN  50
0010-08276 - HEAT EXCHANGER HOSE ASSEMBLY  SUPPLY  75
0010-08277 - HEAT EXCHANGER HOSE ASSEMBLY  RETURN  75
0010-08287 - CCM MODULE  CHAMBER  EMAX 300MM
0010-08293 - ASSY  ORIENTER WITH HINGE 650NM LASER OP
0010-08294 - ASSY  650NM LASER OPTICS ORIENTER HINGE LID
0010-08295 - ASSY  WAFER SENSOR LOW PROFILE EWOB
0010-08299 - SOURCE  RF SHIELDED  300MM PVD
0010-08301 - ASSY  TIN PLIS PLATTER  STEC-MFC  TICL4
0010-08302 - TOP COVER SCRUBBER MESA 200MM
0010-08308 - PEDESTAL ASSEMBLY PRECLEAN 2
0010-08309 - 001
0010-08322 - ASSEMBLY LOCAL MATCH  TOP  300MM ULTIMA
0010-08323 - ASSEMBLY LOCAL MATCH  SIDE  300MM ULTIMA
0010-08337 - 200MM CVD LAMP MODULE
0010-08339 - TOP COVER DRYER MESA
0010-08344 - ASSY SWLL PRODUCER SE
0010-08371 - ASSEMBLY I/O PCBOARD BRACKET CMP FIXED A
0010-08381 - ASSY  4IN OR 5IN ROLL ULTRASONIC CALIBRA
0010-08383 - THROTTLE VALVE ASSEMBLY W/DRIVE ULTIMA H
0010-08384 - ASSEMBLY THROTTLE VALVE FLANGE ULTIMA HD
0010-08385 - ASSY  THROTTLE RPS VALVE PRODUCER
0010-08386 - ASSY  CHEMICAL DISPENSE ARM  IBC  IECP
0010-08387 - ASSY  DI DISPENSE ARM  IBC  IECP
0010-08390 - ASSY  ACTUATOR RPS VALVE PRODUCER
0010-08392 - MANIFOLD ASSY  300MM EMAX
0010-08393 - HEATER ASSY 6" WXZ PLUS JMF
0010-08394 - ASSY  PNEUMATIC HUB  FA 300MM
0010-08398 - ASSY  PID CONTROLLER  208 VAC
0010-08402 - ASSY APPLICATOR WCVD 300MM
0010-08404 - ASSY SUCTION CUP END EFFECTOR WET ROBOT
0010-08409 - THROTTLE VALVE  SMALL SIGMA PLUG BODY AS
0010-08426 - CASTER ASSEMBLY  FI OUTRIGGER
0010-08427 - ASSEMBLY  WAFER ON BLADE 300MM CENTURA 4
0010-08437 - ASSY  DIW INLET W/CDA RGLTR  200MM MESA
0010-08452 - THROTTLE VALVE ASSY  300MM HDPCVD
0010-08455 - ASSEMBLY  NEG HV CONTROLLER BOARD  CESC
0010-08463 - ASSY DIRECT DRIVE ROBOT ROTATION  DB  EN
0010-08464 - WATER HOSE ASSY
0010-08470 - ASSY USER I/O AND EMO I/O FOR DC EMO 300
0010-08476 - GROUND SHIELD ASSEMBLY  ULTIMA+ PH.2  HD
0010-08478 - SOURCE  STANDARD 300MM PVD
0010-08480 - ASSEMBLY  HEATER  BLOCK  LINE/FVC  TXZ 3
0010-08483 - CENTURA STD  MASS STORAGE MODULE FOR 400
0010-08511 - WATLOW ANAFAZE CLS204
0010-08515 - ASSY  E-CHAIN  NOVA WAFER HANDLER  300MM
0010-08527 - ASSY THERMAL SWITCH 55C & 75C
0010-08535 - ASSY  VDS  750SCCM  UC  MCE
0010-08542 - LINEAR MODULE  CH. B  ULM ASSY  EPI 300M
0010-08548 - ASSY  ANNEAL CHAMBER CONTROLLER  IECP
0010-08555 - CLIP ASSEMBLY  PEEK  SRD SPIDER  IECP
0010-08580 - ASSY  DIW INLET W/O CDA RGLTR  200MM MES
0010-08581 - GEN RACK DNET  I/O BLOCK  300MM
0010-08582 - ASSEMBLY DC POWER SUPPLY CMP FIXED ABRAS
0010-08585 - ASSY  EPI CONFIG HARDWARE/SOFTWARE KEY
0010-08603 - HUB ASSY W/O PWR SUPPLY  RADIANCE CH  RT
0010-08605 - HEATER ASSY  300MM PRODUCER SE
0010-08621 - HEATER?
0010-08623 - PANEL ASSEMBLY  CENTER  SHORT  POLISHER
0010-08625 - PANEL ASSEMBLY  CENTER  REAR SKIN
0010-08627 - PANEL ASSEMBLY  CENTER  LONG  POLISHER S
0010-08644 - FLYWHEEL ASSEMBLY  45 DEGREE PLUNGER  30

首页 | 产品中心 | 服务中心 | 新闻中心 | 关于我们 | 联系我们
Top